emacs-orgmode
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: Code block syntax highlighting in async export


From: Max Nikulin
Subject: Re: Code block syntax highlighting in async export
Date: Mon, 3 Oct 2022 22:57:16 +0700
User-agent: Mozilla/5.0 (X11; Linux x86_64; rv:91.0) Gecko/20100101 Thunderbird/91.11.0

On 03/10/2022 19:08, David Lukeš wrote:
Could you please provide an example?

A problem that has some similar symptoms:
M. Pger. Re: no syntax highlighting for code blocks with org-publish.
Mon, 18 Jul 2022 22:55:15 +0000.
https://list.orgmode.org/inTtQOKWAhnFydjBE9fQOOdo_oV6kIPG5_E0d8DsfFiYMIJ4RNLRk68Id6dyrzy1qIDK8YJu0Wty7objv22vR31PNrh-qB9vKxMgHPxNAu8=@protonmail.com

This looks indeed very similar, thank you! I'll investigate if I can
leverage any of the workarounds mentioned.

I have realized that https://orgmode.org/worg/org-contrib/babel/languages/ob-doc-elisp.html has colorized source blocks. The file is created using https://git.sr.ht/~bzg/worg/blob/master/publish.sh and the script is executed inside a container with no available DISPLAY.



reply via email to

[Prev in Thread] Current Thread [Next in Thread]