commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6570 - gnuradio/branches/developers/matt/u2f/eth/rtl/


From: matt
Subject: [Commit-gnuradio] r6570 - gnuradio/branches/developers/matt/u2f/eth/rtl/verilog
Date: Tue, 2 Oct 2007 01:36:37 -0600 (MDT)

Author: matt
Date: 2007-10-02 01:36:33 -0600 (Tue, 02 Oct 2007)
New Revision: 6570

Modified:
   gnuradio/branches/developers/matt/u2f/eth/rtl/verilog/elastic_buffer.v
Log:
progress


Modified: gnuradio/branches/developers/matt/u2f/eth/rtl/verilog/elastic_buffer.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/eth/rtl/verilog/elastic_buffer.v      
2007-10-02 05:16:19 UTC (rev 6569)
+++ gnuradio/branches/developers/matt/u2f/eth/rtl/verilog/elastic_buffer.v      
2007-10-02 07:36:33 UTC (rev 6570)
@@ -23,6 +23,8 @@
    
    reg [7:0]    rxd_d1, rxd_d2;
    reg                  rx_dv_d1,rx_er_d1,crs_d1,col_d1, 
rx_dv_d2,rx_er_d2,crs_d2,col_d2;               
+   wire         rx_dv_ret_adv;
+   reg                  rx_dv_ontime;
 
    always @(posedge rx_clk)
      {col_d1,crs_d1,rx_er_d1,rx_dv_d1,rxd_d1} <= {col,crs,rx_er,rx_dv,rxd};
@@ -79,9 +81,7 @@
         wayoff : addr_rd <= addr_wr_ungray;
        endcase // case(direction)
    
-   wire         rx_dv_ret_adv;
-   reg                  rx_dv_ontime;
-   assign       {col_ret,crs_ret,rx_er_ret,rx_dv_ret_adv,rxd_ret} = 
buffer[addr_rd];
+   assign     {col_ret,crs_ret,rx_er_ret,rx_dv_ret_adv,rxd_ret} = 
buffer[addr_rd];
    always @(posedge tx_clk)
      rx_dv_ontime <= rx_dv_ret_adv;
 





reply via email to

[Prev in Thread] Current Thread [Next in Thread]