commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6525 - gnuradio/branches/developers/matt/u2f/top/u2_s


From: matt
Subject: [Commit-gnuradio] r6525 - gnuradio/branches/developers/matt/u2f/top/u2_sim
Date: Mon, 24 Sep 2007 17:26:20 -0600 (MDT)

Author: matt
Date: 2007-09-24 17:26:19 -0600 (Mon, 24 Sep 2007)
New Revision: 6525

Modified:
   gnuradio/branches/developers/matt/u2f/top/u2_sim/cmdfile
   gnuradio/branches/developers/matt/u2f/top/u2_sim/u2_sim_top.v
Log:
added miim model, and new files to compile list


Modified: gnuradio/branches/developers/matt/u2f/top/u2_sim/cmdfile
===================================================================
--- gnuradio/branches/developers/matt/u2f/top/u2_sim/cmdfile    2007-09-24 
23:25:38 UTC (rev 6524)
+++ gnuradio/branches/developers/matt/u2f/top/u2_sim/cmdfile    2007-09-24 
23:26:19 UTC (rev 6525)
@@ -16,6 +16,7 @@
 +incdir+../../opencores/i2c/rtl/verilog
 -y ../../opencores/aemb/rtl/verilog
 -y ../../opencores/simple_gpio/rtl
+-y ../../opencores/simple_pic/rtl
 
 # Ethernet
 +incdir+../../eth/rtl/verilog

Modified: gnuradio/branches/developers/matt/u2f/top/u2_sim/u2_sim_top.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/top/u2_sim/u2_sim_top.v       
2007-09-24 23:25:38 UTC (rev 6524)
+++ gnuradio/branches/developers/matt/u2f/top/u2_sim/u2_sim_top.v       
2007-09-24 23:26:19 UTC (rev 6525)
@@ -165,12 +165,17 @@
       
.adc_a(adc_a),.adc_ovf_a(adc_ovf_a),.adc_oen_a(adc_oen_a),.adc_pdn_a(adc_pdn_a),
       
.adc_b(adc_b),.adc_ovf_b(adc_ovf_b),.adc_oen_b(adc_oen_b),.adc_pdn_b(adc_pdn_b));
 
+   wire [2:0] speed;
    Phy_sim phy_model
      (.Gtx_clk(GMII_GTX_CLK), . Rx_clk(GMII_RX_CLK), .Tx_clk(GMII_TX_CLK),
       .Tx_er(GMII_TX_ER), .Tx_en(GMII_TX_EN), .Txd(GMII_TXD),
       .Rx_er(GMII_RX_ER), .Rx_dv(GMII_RX_DV), .Rxd(GMII_RXD),
       .Crs(GMII_CRS), .Col(GMII_COL),
-      .Speed(3'b100), .Done(0) );
+      .Speed(speed), .Done(0) );
+
+   miim_model miim_model
+     (.mdc_i(MDC),.mdio(MDIO),.phy_resetn_i(PHY_RESETn),.phy_clk_i(PHY_CLK),
+      .phy_intn_o(PHY_INTn),.speed_o(speed) );
    
    xlnx_glbl glbl (.GSR(),.GTS());
    





reply via email to

[Prev in Thread] Current Thread [Next in Thread]