commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6453 - gnuradio/branches/developers/matt/u2f/control_


From: matt
Subject: [Commit-gnuradio] r6453 - gnuradio/branches/developers/matt/u2f/control_lib
Date: Mon, 17 Sep 2007 16:15:00 -0600 (MDT)

Author: matt
Date: 2007-09-17 16:14:59 -0600 (Mon, 17 Sep 2007)
New Revision: 6453

Modified:
   gnuradio/branches/developers/matt/u2f/control_lib/longfifo.v
Log:
fixed subtle bug


Modified: gnuradio/branches/developers/matt/u2f/control_lib/longfifo.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/control_lib/longfifo.v        
2007-09-17 22:08:05 UTC (rev 6452)
+++ gnuradio/branches/developers/matt/u2f/control_lib/longfifo.v        
2007-09-17 22:14:59 UTC (rev 6453)
@@ -60,7 +60,7 @@
         EMPTY :
           if(write)
             begin
-               rd_addr <= wr_addr;
+               //rd_addr <= wr_addr;
                read_state <= PRE_READ;
             end
         PRE_READ :
@@ -72,15 +72,17 @@
         
         READING :
           if(read)
-            begin
-               if(rd_addr == wr_addr)
-                 begin
-                    read_state <= EMPTY;
-                    empty_reg <= 1;
-                 end
-               else
-                 rd_addr <= rd_addr + 1;
-            end
+            if(rd_addr == wr_addr)
+              begin
+                 empty_reg <= 1;
+                 if(write)
+                   read_state <= PRE_READ;
+                 else
+                   read_state <= EMPTY;
+              end
+            else
+              rd_addr <= rd_addr + 1;
+
        endcase // case(read_state)
 
    always @(posedge clk)





reply via email to

[Prev in Thread] Current Thread [Next in Thread]