commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6441 - gnuradio/branches/developers/matt/u2f/control_


From: matt
Subject: [Commit-gnuradio] r6441 - gnuradio/branches/developers/matt/u2f/control_lib
Date: Fri, 14 Sep 2007 02:43:48 -0600 (MDT)

Author: matt
Date: 2007-09-14 02:43:47 -0600 (Fri, 14 Sep 2007)
New Revision: 6441

Modified:
   gnuradio/branches/developers/matt/u2f/control_lib/buffer_int_tb.v
Log:
further verification


Modified: gnuradio/branches/developers/matt/u2f/control_lib/buffer_int_tb.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/control_lib/buffer_int_tb.v   
2007-09-14 08:31:21 UTC (rev 6440)
+++ gnuradio/branches/developers/matt/u2f/control_lib/buffer_int_tb.v   
2007-09-14 08:43:47 UTC (rev 6441)
@@ -201,7 +201,7 @@
 
        ResetBuffer;
        SetBufferWrite(45,200);
-       $display("Testing Partial Write, 0 wait states, then done and write");
+       $display("Testing Partial Write, 0 wait states, then done and write 
simultaneously");
        while(!wr_ready_o)
          @(posedge clk);
        WriteLines(6,0,301);
@@ -212,16 +212,45 @@
          @(posedge clk);
        
        ResetBuffer;
-       SetBufferRead(0,52);
-       $display("Testing read after write");
+       SetBufferWrite(55,200);
+       $display("Testing Partial Write, 0 wait states, then error");
+       while(!wr_ready_o)
+         @(posedge clk);
+       WriteLines(6,0,501);
+       wr_error_i <= 1;
+       @(posedge clk);
+       wr_error_i <= 0;
+       repeat (10)
+         @(posedge clk);
+       
+       ResetBuffer;
+       SetBufferRead(0,82);
+       $display("Testing read after all the writes");
        while(!rd_sop_o)
          @(posedge clk);
-       ReadLines(53,0);
+       ReadLines(83,0);
        repeat (10)
          @(posedge clk);
        
-  
        ResetBuffer;
+       SetBufferWrite(508,4);
+       $display("Testing wraparound write");
+       while(!wr_ready_o)
+         @(posedge clk);
+       WriteLines(9,0,601);
+       repeat (10)
+         @(posedge clk);
+       
+       ResetBuffer;
+       SetBufferRead(506,10);
+       $display("Testing wraparound write");
+       while(!rd_sop_o)
+         @(posedge clk);
+       ReadLines(17,0);
+       repeat (10)
+         @(posedge clk);
+       
+       ResetBuffer;
        repeat(100)
          @(posedge clk);
        $finish;





reply via email to

[Prev in Thread] Current Thread [Next in Thread]