commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5863 - gnuradio/branches/developers/matt/u2f/control_


From: matt
Subject: [Commit-gnuradio] r5863 - gnuradio/branches/developers/matt/u2f/control_lib
Date: Thu, 28 Jun 2007 00:59:43 -0600 (MDT)

Author: matt
Date: 2007-06-28 00:59:42 -0600 (Thu, 28 Jun 2007)
New Revision: 5863

Modified:
   gnuradio/branches/developers/matt/u2f/control_lib/serdes_rx.v
   gnuradio/branches/developers/matt/u2f/control_lib/serdes_tx.v
Log:
moved declarations to help compile


Modified: gnuradio/branches/developers/matt/u2f/control_lib/serdes_rx.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/control_lib/serdes_rx.v       
2007-06-28 06:59:06 UTC (rev 5862)
+++ gnuradio/branches/developers/matt/u2f/control_lib/serdes_rx.v       
2007-06-28 06:59:42 UTC (rev 5863)
@@ -63,6 +63,9 @@
    
    reg [2:0]   state;
 
+   reg [15:0]  CRC;
+   wire [15:0] nextCRC;
+   
    ss_rcvr #(.WIDTH(18)) ss_rcvr
      (.rxclk(ser_rx_clk),.sysclk(clk),.rst(rst),
       .data_in({ser_rkmsb,ser_rklsb,ser_r}),.data_out(even_data),
@@ -153,9 +156,6 @@
           state <= IDLE;
        endcase // case(state)
    
-   reg [15:0] CRC;
-   wire [15:0] nextCRC;
-   
    always @(posedge clk)
      if(rst)
        CRC <= 16'hFFFF;

Modified: gnuradio/branches/developers/matt/u2f/control_lib/serdes_tx.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/control_lib/serdes_tx.v       
2007-06-28 06:59:06 UTC (rev 5862)
+++ gnuradio/branches/developers/matt/u2f/control_lib/serdes_tx.v       
2007-06-28 06:59:42 UTC (rev 5863)
@@ -58,9 +58,10 @@
    
    reg [2:0]  state, next_state;
    
-   // FIXME Implement sending of flow control
-   // FIXME Send CRC
-   // DONE Add idles if data not ready yet
+   reg [15:0] CRC;
+   wire [15:0] nextCRC;
+   
+   // FIXME Implement sending of flow control if necessary
 
    reg [15:0] second_word;
    
@@ -123,9 +124,6 @@
    assign fifo_done_o = 1'b0;  // Unused -- we always send everything we're 
given
    assign fifo_error_o = 1'b0; // Unused -- there should never be any errors
    
-   reg [15:0] CRC;
-   wire [15:0] nextCRC;
-   
    always @(posedge clk)
      if(rst)
        CRC <= 16'hFFFF;





reply via email to

[Prev in Thread] Current Thread [Next in Thread]