[Top][All Lists]
[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]
[Commit-gnuradio] r5847 - gnuradio/branches/developers/thottelt/inband/u
From: |
thottelt |
Subject: |
[Commit-gnuradio] r5847 - gnuradio/branches/developers/thottelt/inband/usrp/fpga/inband_lib |
Date: |
Tue, 26 Jun 2007 15:42:55 -0600 (MDT) |
Author: thottelt
Date: 2007-06-26 15:42:55 -0600 (Tue, 26 Jun 2007)
New Revision: 5847
Added:
gnuradio/branches/developers/thottelt/inband/usrp/fpga/inband_lib/cmd_reader.v
Log:
forgot cmd_reader.v
Added:
gnuradio/branches/developers/thottelt/inband/usrp/fpga/inband_lib/cmd_reader.v
===================================================================
---
gnuradio/branches/developers/thottelt/inband/usrp/fpga/inband_lib/cmd_reader.v
(rev 0)
+++
gnuradio/branches/developers/thottelt/inband/usrp/fpga/inband_lib/cmd_reader.v
2007-06-26 21:42:55 UTC (rev 5847)
@@ -0,0 +1,71 @@
+module cmd_reader(
+ //System
+ input reset,
+ input txclk,
+ input [31:0] adc_time,
+ //FX2 Side
+ output reg skip,
+ output reg rdreq,
+ input [31:0] fifodata,
+ input pkt_waiting,
+ //Rx side
+ output reg [15:0] rx_databus,
+ output reg rx_WR
+ );
+
+ parameter IDLE = 3'd0;
+ parameter WAIT = 3'd1;
+ parameter HEADER = 3'd2;
+ parameter TIMESTAMP = 3'd3;
+ parameter TEST = 3'd4;
+
+ reg [2:0] state;
+ reg done;
+
+ always @(posedge txclk)
+ if (reset)
+ begin
+ state <= IDLE;
+ skip <= 0;
+ rdreq <= 0;
+ rx_WR <= 0;
+ done <= 0;
+ end
+ else case (state)
+ IDLE : begin
+ //rx_WR <= 0;
+ if (pkt_waiting)
+ begin
+ state <= WAIT;
+ rdreq <= 1;
+ end
+ end
+
+ WAIT : state <= HEADER;
+
+ HEADER : begin
+ state <= TIMESTAMP;
+ rdreq <= 0;
+ end
+
+ TIMESTAMP : begin
+ state <= TEST;
+ skip <= 1;
+ end
+
+ TEST : begin
+ skip <= 0;
+ done <= ~done;
+ rx_WR <= 1;
+ rx_databus <= (done ? 16'hFEED : 16'hBEEF);
+
+ if (done)
+ state <= IDLE;
+ end
+
+ default : begin
+ state <= IDLE;
+ $display("tx_cmd: Unknown state");
+ end
+ endcase
+endmodule
\ No newline at end of file
Property changes on:
gnuradio/branches/developers/thottelt/inband/usrp/fpga/inband_lib/cmd_reader.v
___________________________________________________________________
Name: svn:executable
+ *
[Prev in Thread] |
Current Thread |
[Next in Thread] |
- [Commit-gnuradio] r5847 - gnuradio/branches/developers/thottelt/inband/usrp/fpga/inband_lib,
thottelt <=