bug-gnu-emacs
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

bug#22368: Another way to produce the same result


From: Reto Zimmermann
Subject: bug#22368: Another way to produce the same result
Date: Tue, 18 Aug 2020 12:43:00 +0200
User-agent: Mozilla/5.0 (Windows NT 6.1; WOW64; rv:68.0) Gecko/20100101 Thunderbird/68.11.0

Hi Stefan, John,

The file is missing.  Could you please re-attach?

Reto


On 2020-08-15 07:34, Stefan Kangas wrote:
Hi Reto,

Could you please help take a look at the below bug report in vhdl-mode?
It was reported over 4 years ago but unfortunately never got a reply at
the time.

Thanks in advance.

Best regards,
Stefan Kangas

John Chapple <jrchapple@sympatico.ca> writes:

Hello again, Ladies and/or Gentlemen,

I have found another method to reproduce this problem that perhaps is clearer:

Open the attached file.

Using the mouse, select Edit | Select All .

Using the mouse, select VHDL | Comment | (Un)Comment Out Region.

Line 14 will now be rejected by any VHDL compiler.  The file is saved to disk 
as it appears on the screen.  I checked with another editor.

Cheers
John






reply via email to

[Prev in Thread] Current Thread [Next in Thread]