bug-gnu-emacs
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

bug#22368: Another way to produce the same result


From: Stefan Kangas
Subject: bug#22368: Another way to produce the same result
Date: Fri, 14 Aug 2020 22:34:40 -0700
User-agent: Gnus/5.13 (Gnus v5.13) Emacs/28.0.50 (gnu/linux)

Hi Reto,

Could you please help take a look at the below bug report in vhdl-mode?
It was reported over 4 years ago but unfortunately never got a reply at
the time.

Thanks in advance.

Best regards,
Stefan Kangas

John Chapple <jrchapple@sympatico.ca> writes:

> Hello again, Ladies and/or Gentlemen,
>
> I have found another method to reproduce this problem that perhaps is clearer:
>
> Open the attached file.
>
> Using the mouse, select Edit | Select All .
>
> Using the mouse, select VHDL | Comment | (Un)Comment Out Region.
>
> Line 14 will now be rejected by any VHDL compiler.  The file is saved to disk 
> as it appears on the screen.  I checked with another editor.
>
> Cheers
> John





reply via email to

[Prev in Thread] Current Thread [Next in Thread]