guix-commits
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

02/03: gnu: nextpnr-ice40: Re-indent.


From: guix-commits
Subject: 02/03: gnu: nextpnr-ice40: Re-indent.
Date: Tue, 11 Aug 2020 09:47:23 -0400 (EDT)

efraim pushed a commit to branch master
in repository guix.

commit 29bf0aa324cb4d5140d660b2d0e8e678309e7881
Author: Efraim Flashner <efraim@flashner.co.il>
AuthorDate: Tue Aug 11 16:09:53 2020 +0300

    gnu: nextpnr-ice40: Re-indent.
    
    * gnu/packages/fpga.scm (nextpnr-ice40): Re-indent code.
---
 gnu/packages/fpga.scm | 60 +++++++++++++++++++++++++--------------------------
 1 file changed, 30 insertions(+), 30 deletions(-)

diff --git a/gnu/packages/fpga.scm b/gnu/packages/fpga.scm
index 3365f2a..f36a5e2 100644
--- a/gnu/packages/fpga.scm
+++ b/gnu/packages/fpga.scm
@@ -262,38 +262,38 @@ Includes the actual FTDI connector.")
 (define-public nextpnr-ice40
   (let [(commit "fbe486df459909065d6852a7495a212dfd2accef")
         (revision "1")]
-  (package
-    (name "nextpnr-ice40")
-    (version (git-version "0.0.0" revision commit))
-    (source
-      (origin
-        (method git-fetch)
-        (uri (git-reference
-               (url "git://github.com/YosysHQ/nextpnr")
-               (commit commit)))
-        (file-name (git-file-name name version))
-        (sha256
-          (base32
+    (package
+      (name "nextpnr-ice40")
+      (version (git-version "0.0.0" revision commit))
+      (source
+        (origin
+          (method git-fetch)
+          (uri (git-reference
+                 (url "git://github.com/YosysHQ/nextpnr")
+                 (commit commit)))
+          (file-name (git-file-name name version))
+          (sha256
+           (base32
             "1fmxsywgs45g88ra7ips5s2niiiwrkyxdcy742ws18dfk2y4vi9c"))))
-    (inputs
-      `(("qtbase" ,qtbase)
-        ("boost" ,boost-with-python3)
-        ("yosys" ,yosys)
-        ("eigen" ,eigen)
-        ("python" ,python)
-        ("icestorm" ,icestorm)))
-    (build-system cmake-build-system)
-    (arguments
-      `(#:configure-flags `("-DARCH=ice40"
-                            ,(string-append "-DICEBOX_ROOT="
-                                            (assoc-ref %build-inputs 
"icestorm")
-                                            "/share/icebox"))
-        #:tests? #f))
-    (synopsis "Place-and-Route tool for FPGAs")
-    (description "Nextpnr aims to be a vendor neutral, timing driven,
+      (inputs
+       `(("qtbase" ,qtbase)
+         ("boost" ,boost-with-python3)
+         ("yosys" ,yosys)
+         ("eigen" ,eigen)
+         ("python" ,python)
+         ("icestorm" ,icestorm)))
+      (build-system cmake-build-system)
+      (arguments
+       `(#:configure-flags `("-DARCH=ice40"
+                             ,(string-append "-DICEBOX_ROOT="
+                                             (assoc-ref %build-inputs 
"icestorm")
+                                             "/share/icebox"))
+         #:tests? #f))
+      (synopsis "Place-and-Route tool for FPGAs")
+      (description "Nextpnr aims to be a vendor neutral, timing driven,
 FOSS FPGA place and route tool.")
-    (home-page "https://github.com/YosysHQ/nextpnr";)
-    (license license:expat))))
+      (home-page "https://github.com/YosysHQ/nextpnr";)
+      (license license:expat))))
 
 (define-public arachne-pnr
   (let ((commit "840bdfdeb38809f9f6af4d89dd7b22959b176fdd")



reply via email to

[Prev in Thread] Current Thread [Next in Thread]