emacs-elpa-diffs
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[nongnu] elpa/evil-matchit b47931128c: fixed doc


From: ELPA Syncer
Subject: [nongnu] elpa/evil-matchit b47931128c: fixed doc
Date: Thu, 12 May 2022 22:58:10 -0400 (EDT)

branch: elpa/evil-matchit
commit b47931128c6b7924ea432895f469769986de410d
Author: Chen Bin <chenbin.sh@gmail.com>
Commit: Chen Bin <chenbin.sh@gmail.com>

    fixed doc
---
 evil-matchit-sdk.el     | 2 +-
 evil-matchit-verilog.el | 5 +++--
 evil-matchit.el         | 2 +-
 3 files changed, 5 insertions(+), 4 deletions(-)

diff --git a/evil-matchit-sdk.el b/evil-matchit-sdk.el
index 82d229daa0..9f5830c216 100644
--- a/evil-matchit-sdk.el
+++ b/evil-matchit-sdk.el
@@ -240,7 +240,7 @@ If IS-FORWARD is t, jump forward; or else jump backward."
       (goto-char old))))
 
 (defun evilmi-sdk-simple-jump ()
-  "Alternative for `evil-jump-item'."
+  "Alternative of built-in jump item command in evil'."
   (if evilmi-debug (message "evilmi-sdk-simple-jump called (point)=%d" 
(point)))
 
   (evilmi-sdk-skip-whitespace)
diff --git a/evil-matchit-verilog.el b/evil-matchit-verilog.el
index 2d2fc23bee..ab20e3edb2 100644
--- a/evil-matchit-verilog.el
+++ b/evil-matchit-verilog.el
@@ -98,6 +98,7 @@
                                                    (cddr token))))
 
 (defun evilmi-verilog-parse-at-point ()
+  "Parse tokens at point."
   (let* ((tokens (evilmi-sdk-tokens 3))
          info)
     (when (and tokens (> (length tokens) 1))
@@ -116,7 +117,7 @@
           (setq info (evilmi-sdk-get-tag evilmi-verilog-match-tags
                                          
evilmi-verilog-extract-keyword-howtos))
           (setq info (cons start (cdr info))))))
-    ;; "info" is as same type as `evil-sdk-get-tag' returns
+    ;; "info" is the same type as `evilmi-sdk-get-tag' returns
     info))
 
 ;;;###autoload
@@ -129,7 +130,7 @@
 
 ;;;###autoload
 (defun evilmi-verilog-jump (info num)
-  "Use INFO returned by `evilmi-verlog-get-tag' and NUM to jump to matched 
tag."
+  "Use INFO returned by `evilmi-verilog-get-tag' and NUM to jump to matched 
tag."
   (when info
     (let* ((orig-keyword (evilmi-sdk-keyword (cadr info))))
       (if evilmi-debug (message "evilmi-verilog-jump called => %s" info))
diff --git a/evil-matchit.el b/evil-matchit.el
index 3c877c36bb..f1e9cbe059 100644
--- a/evil-matchit.el
+++ b/evil-matchit.el
@@ -64,7 +64,7 @@
   :type '(repeat sexp))
 
 (defcustom evilmi-may-jump-by-percentage t
-  "Simulate `evil-jump-item'.
+  "Simulate built-in jump item command in evil.
 For example, `50%' jumps to 50 percentage of buffer.
 If nil, `50%' jumps 50 times."
   :group 'evil-matchit



reply via email to

[Prev in Thread] Current Thread [Next in Thread]