commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] git://gnuradio.org/ets branch, master, updated. 3.3git


From: git repository hosting
Subject: [Commit-gnuradio] git://gnuradio.org/ets branch, master, updated. 3.3git-818-gd57365b
Date: Thu, 27 May 2010 21:02:55 +0100 (BST)

This is an automated email from the git hooks/post-receive script. It was
generated because a ref change was pushed to the repository containing
the project "git://gnuradio.org/ets".

The branch, master has been updated
       via  d57365be4d71c75b963dac44ab0817c65cf73184 (commit)
       via  6257b877dc8d3b52ceadc54525d044a5430e8fcd (commit)
       via  7633f27db443f017b66bf07a774d19b0c74a00d7 (commit)
       via  99d45be028bee1e016e2f640817a51d308fb34ca (commit)
       via  398c2b9438af6417431e287bfce22cf72adbf8ad (commit)
       via  6c0f2f5a5e4eddefc52c272c4b92065a225be3c5 (commit)
       via  62f8c06058435ed3e16f20327bb07bd29e4d8386 (commit)
       via  b32e803b1bee283033c976a4656bc0af4fe9461f (commit)
       via  625a12540792512c968a6f45418a694a5f6aef76 (commit)
       via  abc51381b06bff0075d68c6e6fa92383446c2fbc (commit)
       via  c5b28091c915891d0a5556bcadb8f491a6689024 (commit)
       via  26185e9077e5e20f71fb515c0e847a5dfd57986c (commit)
       via  91054ed9fe7f08cac9738a6a6af5a9ad476ba24b (commit)
       via  4267b714f4276671f718136a1279f681a4231aee (commit)
       via  34e0be1f96cb24e302269c008444bc18e418b653 (commit)
       via  1e963cd9b6e2a1687bbff86df66c5efbcb2be363 (commit)
       via  99a39a4351ca250dcbeeface0ab7b9de6e301d49 (commit)
       via  0058f55926c8e9f6ecb37f153ff7464b93838484 (commit)
       via  68ce6b4a9b90d4d53310c4271e6011b796fc1bff (commit)
       via  6f9093edd6d921827f1aca173e6de68898ba648a (commit)
       via  8944000061cbfb995e56b74ab03034ec3541caac (commit)
       via  fefb71787e7e365049743f210b18a6f04f13d47b (commit)
       via  a39ca4f36cee81bb44f553c1a1d2045a29231e0b (commit)
       via  22a3ed8955a9d40796d58e83993b5f56f117a27a (commit)
       via  1c22592ca05eff573b755e36a9685cfadf8a2723 (commit)
       via  81133f7b37ba2cc1df65dc5704542fa758f32f23 (commit)
       via  da1286dc29ac9ab9788ea7f2e7f6f6398e8d3074 (commit)
       via  7dba4b4a3cf9b2f0fab397494d84c948a3bf85d1 (commit)
       via  026f691a6dfcb8fca61964cf457f08a7d1e7306b (commit)
       via  82382c05d32223658cedb913b4914412ccb861be (commit)
       via  3f1940cfd633949f819da1900e19d155e91dc1c4 (commit)
       via  212ba35ca6b9792df7e7a1791fd9a395b88e77eb (commit)
       via  3a822b971ba921ba6741eb94a9db0673950ecdff (commit)
       via  ebb561d028bfd4a3ca2571fb997bb13a514bd87c (commit)
       via  2057623cf8f9e9738954b146d3a23577110f7906 (commit)
       via  3a730f46faf1942c713350b312a1dfeffb587550 (commit)
       via  331542fc51eb47a65161ab6d41c6dc8fd1dd1f22 (commit)
       via  467678259c56fab32a326690cc01eac1dbcb48ca (commit)
       via  83a28558176a4e6ebcf8f38aef0739659b0a7c1b (commit)
       via  39cb5b8daee27ccca4d1919706910ccff116f45d (commit)
       via  55364771aee8933ded7e98bd3c873d767f717cec (commit)
       via  c1c29651e8a13c090228723684d6f693a6ae8c34 (commit)
       via  c76897abcbfe1c149bffd27fba0224d3ebc83503 (commit)
       via  a13d9fd69606935a075f3033fc0b244a011f792b (commit)
       via  81391dc8d76f4a665ca1b14ef3d094353084d829 (commit)
       via  42e7489aa15a799e9a9a86ffd367c4d823080bbf (commit)
       via  b682d4bbe98b72e86219f1f303843b4f4f9ed1b7 (commit)
       via  f20b3e2a48a4b9ae7a8b87b1361749c290d99e39 (commit)
       via  89ceb6c846e5b859d8fdef4fef84093f18efd3da (commit)
       via  a45e2a94328fee03910e9dbfa04b48bf9ba15f61 (commit)
       via  1fc7c57f778a9f05ded1d216247242ee13632d03 (commit)
       via  591c12fc9de3a318a983d1e02eed789f76c0b5fb (commit)
       via  8f1340102fa181a470dd5ec89a92bdb33dc3e288 (commit)
       via  19550b1be84c96eb92b24c88847e1b0d024b366c (commit)
       via  a61fc516f5deeef67b48a704c5426c3969d36248 (commit)
       via  761a6f97a623e07f51743d70d1962c98b26bb599 (commit)
       via  d702e27d1f3b0e76ef3734ee6b5b6ac1333cdbff (commit)
       via  7488244b24a52e6b1a51bcbdb0077e70554c6731 (commit)
       via  e0df16cf6f62dd0745530955481df8c3eecc9cb2 (commit)
       via  e93a81a1f346f1fdef218b115c99f547ccd7ad27 (commit)
       via  f7a73dc6334be8b4ddd0d8308eb1eefa4cad3049 (commit)
       via  6606af991b15174cbdbaca738669a21461b0fbe6 (commit)
       via  f1b71c9525dd56e2c296a2bca4b2db9ed1a320ce (commit)
       via  94c92b789da2feec05a8aa417619c5d5c40f1e3f (commit)
       via  22a2621d0d11316a1d8562c5fddd03f162c40d36 (commit)
       via  66ff2fa5db423851f69e8eb1b3f4f8ccd434facd (commit)
       via  e22224454f63be561fa2a5923d35b65ba403b6ac (commit)
       via  dda6ed353551d3493983bd56e0ca8ee8ed4407c5 (commit)
       via  04d3f1954b589656b34298b98e36b8667700d89a (commit)
       via  3ff43f7487b43436cd0f49de80ebff2c1ff1a188 (commit)
       via  9038b3530d0ec746f401f3c443e2f862fff5a18e (commit)
       via  8219bc01748d79ca1d995e65914b8c4033a89999 (commit)
       via  910d207edfec4ceeb431c4063dfb1d5b2801198f (commit)
       via  c6ad778bda302a9abfe3f1a905d1a80ee34c60a6 (commit)
       via  ac2b84b93cad94f9a8830eeb5e62dace997c9a47 (commit)
       via  a178f23be75489c1507060a8b0e9e59cd358dfba (commit)
       via  665d7a850eeb46bfc6388a98e4a4107648cb6add (commit)
       via  a40feb1dece1b8064f3f81e669f3ed38b60b6dd8 (commit)
       via  49fa13f9fce2037d176c86bf326a7e25a78b72a5 (commit)
       via  1eb2ad3909a0ba16e8c03776d1d26693b9b152a5 (commit)
       via  a3278070d3e991ea0a8f6e62bbfc2a2e177fe8e7 (commit)
       via  04db1ec3b6dad9d984f7fe4314cca6937c94a1b0 (commit)
       via  052638a76f3c07be744b603c3ba0f392ccc1569b (commit)
       via  8d3a78da9dd988ee5704a83eedd7c71ba8a87607 (commit)
       via  3b8fcaa640d75573d314fb8616969ad2adf2a099 (commit)
       via  a712b478c2824396408b2ec11584b7d559015b25 (commit)
       via  3e8c1915a289088aa801427defc18a165ba43cd1 (commit)
       via  d1ae6560ab2b8b5d474e58f865314a6cf18b958c (commit)
       via  7389f7a46fbad90dc1ae2c8232f770b03c27a38f (commit)
       via  78c6890ae5e4445bb4974f6cd2956e961fe6171b (commit)
       via  5a3a0361fa8b21f870b51bb778af2f217be49101 (commit)
       via  3ecfbd979393475e810ed08b3c74cbcdf8592f8e (commit)
       via  9361446ea3a0dc78dc25815c3b8cf1f6b27e9069 (commit)
       via  bc5479b083f3c213f963c6c841f2baac7482de70 (commit)
       via  545901e335f27600c460f749b66d60155a179492 (commit)
       via  a5d7313aaab2e6d85ffeabae0d97dc44fb0d93de (commit)
       via  aeba81012857f6a73c125b8d035c3c15572b3439 (commit)
       via  23fcf0ccf9ac30a95afcbba99e478af9ce2081dc (commit)
       via  b0d32c6c20cadaa544aeaa7b5257919674e8d0ad (commit)
       via  a301c47751cb61116ae8f49f6b693b3ca6fbadc3 (commit)
       via  ff0b9ca818796e3dc1468184fb4586876b9d830d (commit)
       via  213f8bcef70281a52bab02d2a9e7868749530c3c (commit)
       via  434c113106e0f18b08392e32829015ffdd866513 (commit)
       via  2a2e808f56df2a0bf1704aa20b03d0ed4f5bdd5e (commit)
       via  7f217fbf1579bd4a37b633d29c15b929bfe8b9d5 (commit)
       via  75e55b8112db3de830401270ea4e21b6f636191b (commit)
       via  da8ebdb30509c07718b10b642e2b4250aa45b1d8 (commit)
       via  ad973608d979675755cb537f14ae0a53f71e6489 (commit)
       via  9600dff866e193391c4df8f5e3f9bd65f3392d26 (commit)
       via  1e5d21b4ff14e19593909092ea67593ba0d4c086 (commit)
       via  f1cda009dfd5edd7e1e234b97a4456076aebac0a (commit)
       via  69c6a0739f4df46d49e3ed4c151f99f8eca2a5de (commit)
       via  290fc2315a6b7f2d2bc6b98318783e3278a97453 (commit)
       via  08c3b8fe72915e30bc62d0d944640a41c5315e8c (commit)
       via  520e64c211324ef32dabe66fa492825fe5c3eda1 (commit)
       via  a88f6c0ab090c2d22707564fbd6db45a85567c59 (commit)
       via  e06d290279803c47bff2331859d6ad4e68236a13 (commit)
       via  547d65b6c4353a899809136af06f393810aaad03 (commit)
       via  3e214cb7ba3a80495db77c4f92132c4497667a69 (commit)
       via  a75b2f5b4506a3381e712c546a70e3a55a1f8dcd (commit)
       via  d5fe3b38d5f406b111721ebf789323b49b8eff9d (commit)
       via  96a20bb09dc6b07b3d2651645e579dff6c3f3a45 (commit)
       via  1ae689ff9238dcffbf65881b8ca03aa8df3844aa (commit)
       via  769b8bbe0aed088b6d1582d2d81d0966cee4c604 (commit)
       via  f322a2af4e9ac58a10e263cd6d8299e0c6547470 (commit)
       via  29971e477e79fedce0730b4ece91bae468544e5c (commit)
       via  dc56ffae0e22141e0acc3a9976c12de0cbd121a2 (commit)
       via  ac422b700282e21956dbf7643ee2dfbeeebdaf45 (commit)
       via  a34f397a4ba7e150268d40f87fede4e3663a9853 (commit)
       via  1bec5c5eaef7ff2e98f37ff60d6b61c1310e582d (commit)
       via  6de98961cf9b93c486c8c6825789de94dc79afae (commit)
       via  c11ce18def574dabf46532baba924429d421b565 (commit)
       via  b53bc1395bd2e8b1ad8a34c583d36fb4ddda7629 (commit)
       via  8c163c9cca7aa39862c8c8eb19a3e0a18c61a661 (commit)
       via  d7aa018010c828b2bedf0e3974c9d11d41088258 (commit)
       via  6a617516e0678df0c6cb94319cb5980556d49793 (commit)
       via  ec8e9c7e113046c66176498f12ec79f11d3da65e (commit)
       via  52ae8be371d71f8c0817b2a88593ff6c378b9613 (commit)
       via  c7b26f667de792209225b8244e92842b2399368c (commit)
       via  1933148ce1c78a81b1299c05d540a77b31325d92 (commit)
       via  645768d1b067fe83ccc65f4a834ee384ea4560d9 (commit)
       via  d844c4f06dc10c8499eb2b8f1cb5b55f55a5b48d (commit)
       via  18ecca1b9e57f047130a124bd8cc90cbe34feff0 (commit)
       via  cbbe4816f99ac934427823c43d2f6f3f1c5f7995 (commit)
       via  324971d366b9b194d9a6af4ddd581f434d02cb43 (commit)
       via  1ba78b5f4c6bc2468b6fb798f41837a8ab2be89b (commit)
       via  ebd5449ebf8c08f78cada720eb47769bdfaa4bde (commit)
       via  ff876f7cc310bbdf1c5d05f85daba0afdb33561a (commit)
       via  5b0fbc73d7e35b617df517a5182c00731f4c66d7 (commit)
       via  a5ee5af754abd66a7d7751868e0dcea8c8299264 (commit)
       via  901e0ad28d1f65eb10362fffd00693426db84ed4 (commit)
       via  821fab6294f69de6c4b5daf49a969a1904749c48 (commit)
       via  642252d8aa82f88a3789c31079bc7ff8538465da (commit)
       via  d3e95c965048bb7fe232f53219baff5d9d182fcc (commit)
       via  72edafee64f906f4e5db07ac66032492c6a7a617 (commit)
       via  6c3b6396bc420228215072b2fc1b83c82eaeb291 (commit)
       via  eb6ff48d9b5894d1662f361d82d2c7cd80b35218 (commit)
       via  e259d4d2eeb0b152e1e042333a8b36e07f5ad094 (commit)
       via  b811e8749dcd7c96f1af2d98caba403e50c1602b (commit)
       via  97275c32783a8831c7eac3786257fe2e142d95c0 (commit)
       via  4c296bd1f0e7769db69ca15bcc528802b06f752c (commit)
       via  bc8a3bde9b1e6c30ec5fd3d18fea299ac613f404 (commit)
       via  72c47024f4b4677f116222a0adfadeedf180122c (commit)
       via  f35a7d31f6650752dac693e3f412e5e0dcaf66fa (commit)
       via  a2c00f5cff7407ff10fc6c812d06fefe52c0b6a3 (commit)
       via  94a853ab5eaca2afc6ac7c5f33406d8299ed3bcf (commit)
       via  b532f4b7b6030665cbd03a19dd776932d31a1734 (commit)
       via  b45af8e91d37ac660f24f858a672a69952cc0e49 (commit)
       via  598924aa8be0740583d9d32f99269e1e55134b6e (commit)
       via  93c51f5c02311f89dc6eb47923b34b13038c805c (commit)
       via  752df2b84454756685dc2a05c232b59e3ebdaa2e (commit)
       via  85aad96915db5f53897f91257794c85eb67f39d4 (commit)
       via  803cb1d7974ed7d419550cc66480c107c2f0d454 (commit)
       via  db29a2cfc18554ae0a3c55a4e13dc4cbfa86317f (commit)
       via  7d5e47e14126e37ad78164df448d0fcc4b04c558 (commit)
       via  b845770e33b584a88150dc97b45c7b0807791693 (commit)
       via  9f966c1f5123de5a16c810c52c2895ba0db8e2dc (commit)
       via  33a573f76291a32585f85df0c8a5a9f894bd27fd (commit)
       via  f6010974b8f0af65a8b1a875587bb4a7810565ce (commit)
       via  fe3150d5e5a0bb7bf98b5f44ad0b68a107bd7f9a (commit)
      from  48850cce5609941289c00fea9cd3493624bf7376 (commit)

Those revisions listed above that are new to this repository have
not appeared on any other notification email; so we list those
revisions in full, below.

- Log -----------------------------------------------------------------
commit d57365be4d71c75b963dac44ab0817c65cf73184
Merge: 7633f27db443f017b66bf07a774d19b0c74a00d7 
6257b877dc8d3b52ceadc54525d044a5430e8fcd
Author: Johnathan Corgan <address@hidden>
Date:   Thu May 27 11:54:40 2010 -0700

    Merge remote branch 'ets/grc-usrp2-clock-source'
    
    * ets/grc-usrp2-clock-source:
      Add USRP2 clock source parameter to GRC blocks.

commit 7633f27db443f017b66bf07a774d19b0c74a00d7
Author: Eric Blossom <address@hidden>
Date:   Tue May 25 13:05:04 2010 -0700

    Refactor Makefile.am to move common files from 3 libraries into a single 
variable.

commit 99d45be028bee1e016e2f640817a51d308fb34ca
Author: Johnathan Corgan <address@hidden>
Date:   Tue May 25 11:32:37 2010 -0700

    usrp2-firmware: fix missing files in tarball

commit 398c2b9438af6417431e287bfce22cf72adbf8ad
Author: Johnathan Corgan <address@hidden>
Date:   Tue May 25 05:16:10 2010 -0700

    Fix erroneous file modes

commit 6c0f2f5a5e4eddefc52c272c4b92065a225be3c5
Merge: 62f8c06058435ed3e16f20327bb07bd29e4d8386 
b32e803b1bee283033c976a4656bc0af4fe9461f
Author: Johnathan Corgan <address@hidden>
Date:   Sun May 23 13:36:44 2010 -0700

    Merge remote branch 'gnuradio/wip/udp_source_sink'
    
    * gnuradio/wip/udp_source_sink:
      gnuradio-core: update copyrights
      gnuradio-core: allow swig to handle exceptions in UDP source/sink
      grc: update UDP source and sink block wrappers
      Simplify USE_SELECT usage
      Return immediately when using d_residual.
      Defend against a peer that sends an invalid message length.
      Move initialization of select timeout
      Correct update of d_temp_offset (parallel construction)
      Identify memory leaks that occur on error conditions
      Use -1 as file descriptor "not open" value instead of 0
      Add additional conditionalization of networking includes
      Flush pending errors in gr_udp_sink on disconnect()
      Rework UDP source and sink, with incompatible API changes
      Updates to udp source/sink (select(), wait, cleanup)
      Discard data in gr_udp_sink until receiver is started.
      Use getaddrinfo in gr_udp_{source,sink}
      Changes to gr_udp_{source,sink} for MinGW
      Ignore ENOPROTOOPT return from setsockopt(SO_LINGER)

commit 62f8c06058435ed3e16f20327bb07bd29e4d8386
Author: Johnathan Corgan <address@hidden>
Date:   Fri May 21 17:47:26 2010 -0700

    libvrt: remove from 3.3 API.
    
    libvrt will continue as part of the 3.4git development branch, where
    it will undergo a rewrite and API change.

commit b32e803b1bee283033c976a4656bc0af4fe9461f
Author: Johnathan Corgan <address@hidden>
Date:   Fri May 21 17:28:02 2010 -0700

    gnuradio-core: update copyrights

commit 625a12540792512c968a6f45418a694a5f6aef76
Author: Johnathan Corgan <address@hidden>
Date:   Fri May 21 17:23:06 2010 -0700

    gnuradio-core: allow swig to handle exceptions in UDP source/sink

commit abc51381b06bff0075d68c6e6fa92383446c2fbc
Author: Johnathan Corgan <address@hidden>
Date:   Fri May 21 17:16:25 2010 -0700

    grc: update UDP source and sink block wrappers

commit c5b28091c915891d0a5556bcadb8f491a6689024
Author: Johnathan Corgan <address@hidden>
Date:   Fri May 21 16:02:53 2010 -0700

    Update revision to release 3.3.0-rc1, update autotools

commit 26185e9077e5e20f71fb515c0e847a5dfd57986c
Author: Eric Blossom <address@hidden>
Date:   Wed May 19 20:43:48 2010 -0700

    Simplify USE_SELECT usage

commit 91054ed9fe7f08cac9738a6a6af5a9ad476ba24b
Author: Eric Blossom <address@hidden>
Date:   Wed May 19 20:38:17 2010 -0700

    Return immediately when using d_residual.
    
    (Otherwise recv may overwrite valid data in d_temp_buff.)

commit 4267b714f4276671f718136a1279f681a4231aee
Author: Eric Blossom <address@hidden>
Date:   Wed May 19 20:27:10 2010 -0700

    Defend against a peer that sends an invalid message length.

commit 34e0be1f96cb24e302269c008444bc18e418b653
Author: Eric Blossom <address@hidden>
Date:   Wed May 19 20:15:41 2010 -0700

    Move initialization of select timeout

commit 1e963cd9b6e2a1687bbff86df66c5efbcb2be363
Author: Eric Blossom <address@hidden>
Date:   Wed May 19 20:11:35 2010 -0700

    Correct update of d_temp_offset (parallel construction)

commit 99a39a4351ca250dcbeeface0ab7b9de6e301d49
Author: Eric Blossom <address@hidden>
Date:   Wed May 19 20:06:26 2010 -0700

    Identify memory leaks that occur on error conditions

commit 0058f55926c8e9f6ecb37f153ff7464b93838484
Author: Eric Blossom <address@hidden>
Date:   Wed May 19 20:02:26 2010 -0700

    Use -1 as file descriptor "not open" value instead of 0

commit 68ce6b4a9b90d4d53310c4271e6011b796fc1bff
Author: Eric Blossom <address@hidden>
Date:   Wed May 19 19:57:10 2010 -0700

    Add additional conditionalization of networking includes

commit 6f9093edd6d921827f1aca173e6de68898ba648a
Author: Eric Blossom <address@hidden>
Date:   Wed May 19 15:26:08 2010 -0700

    Remove bogus check for existence of prefix directory.

commit 8944000061cbfb995e56b74ab03034ec3541caac
Author: Johnathan Corgan <address@hidden>
Date:   Tue May 18 13:53:39 2010 -0700

    grc: rename execution binary from 'grc' to 'gnuradio-companion'
    
    This change resolves a conflict with the Generic Colorizer application
    which also uses the binary name 'grc'.
    
    Interested users can add an alias to their shell environment to run
    gnuradio-companion when grc is invoked.

commit fefb71787e7e365049743f210b18a6f04f13d47b
Author: Johnathan Corgan <address@hidden>
Date:   Tue May 18 13:22:29 2010 -0700

    gnuradio-core: removed gr.dd_mpsk_sync_cc block as obsolete

commit a39ca4f36cee81bb44f553c1a1d2045a29231e0b
Merge: 1c22592ca05eff573b755e36a9685cfadf8a2723 
22a3ed8955a9d40796d58e83993b5f56f117a27a
Author: Johnathan Corgan <address@hidden>
Date:   Mon May 17 19:33:46 2010 -0700

    Merge branch 'wip/burx_support'
    
    * wip/burx_support:
      -Updated to allow BURX support to be built into standard txrx.bin
      Once and for all, here is the properly updated Makefile.am for the apps
      Updated db_bitshark_rx.c to the proper version that includes the
      Change default bandwidth to 25 MHz to match maximum USRP2 bandwidth
      Update incorrectly checked in Makefile.am
      usrp: Cleanup for merge of bitshark daughterboard code
      Including bitshark_rx.h header file for USRP2 build
      Fixed issue with with wrong Makefile.am files being copied
      Add support for the Bitshark USRP RX (BURX) daughterboard for the USRP2.
      Add support for the Bitshark USRP RX (BURX) daughterboard for the USRP1.

commit 22a3ed8955a9d40796d58e83993b5f56f117a27a
Author: JohnOrlando <address@hidden>
Date:   Mon May 17 16:15:49 2010 -0500

    -Updated to allow BURX support to be built into standard txrx.bin
    image
    -Also corrected db_bitshark_rx.c to the current version (previous
    git push error) that includes the needed delays after I2C commands

commit 1c22592ca05eff573b755e36a9685cfadf8a2723
Author: Don Ward <address@hidden>
Date:   Sun May 16 09:30:31 2010 -0700

    howto: fix make check for win32, darwin (untested)

commit 81133f7b37ba2cc1df65dc5704542fa758f32f23
Author: Johnathan Corgan <address@hidden>
Date:   Sun May 16 07:47:53 2010 -0700

    Revert "build: distribute version controlled ltmain.sh in tarball"
    
    This reverts commit 026f691a6dfcb8fca61964cf457f08a7d1e7306b.

commit da1286dc29ac9ab9788ea7f2e7f6f6398e8d3074
Author: Johnathan Corgan <address@hidden>
Date:   Sun May 16 07:47:00 2010 -0700

    Revert "build: force use of ltmain.sh from libtool 2.2.6b"
    
    This reverts commit 3f1940cfd633949f819da1900e19d155e91dc1c4.

commit 7dba4b4a3cf9b2f0fab397494d84c948a3bf85d1
Merge: c1c29651e8a13c090228723684d6f693a6ae8c34 
3a822b971ba921ba6741eb94a9db0673950ecdff
Author: Johnathan Corgan <address@hidden>
Date:   Fri May 14 10:31:19 2010 -0700

    Merge remote branch 'bitshark/burx_support' into wip/burx_support
    
    * bitshark/burx_support:
      Once and for all, here is the properly updated Makefile.am for the apps
      Updated db_bitshark_rx.c to the proper version that includes the
    
    Conflicts:
        usrp2/firmware/lib/db_bitshark_rx.c

commit 026f691a6dfcb8fca61964cf457f08a7d1e7306b
Author: Johnathan Corgan <address@hidden>
Date:   Fri May 14 10:15:52 2010 -0700

    build: distribute version controlled ltmain.sh in tarball

commit 82382c05d32223658cedb913b4914412ccb861be
Author: Johnathan Corgan <address@hidden>
Date:   Fri May 14 10:15:30 2010 -0700

    build: use correct comment delimiter

commit 3f1940cfd633949f819da1900e19d155e91dc1c4
Author: Johnathan Corgan <address@hidden>
Date:   Thu May 13 19:20:27 2010 -0700

    build: force use of ltmain.sh from libtool 2.2.6b
    
    Don Ward:
    
    "The supplied version of ltmain.sh does not handle interlibrary
    dependencies on Windows. I have attached a diff file showing
    the slight differences between the ltmain.sh in the tarball
    (2.2.6b Debian-2.2.6b-2ubuntu1, which fails) and the ltmain.sh
    downloaded from packages.debian.org  (plain 2.26b).  It looks
    like it was broken in Ubuntu 2.2.6a-3 (change log says "Don't
    pull in depedency libs when linking a binary"). GNU Radio builds
    ok if I run ./bootstrap locally."
    
    JMC: This file (ltmain.sh) has not previously been under version
    control and would be copied over (or symlinked) by the bootstrap
    process. With a version now in the repository, we'll need to
    ensure it stays fresh, likely at the same time we check for
    updated config.guess and config.sub files.

commit 212ba35ca6b9792df7e7a1791fd9a395b88e77eb
Author: Johnathan Corgan <address@hidden>
Date:   Thu May 13 19:10:44 2010 -0700

    gnuradio-core: Disable (temporarily) interpolator tap calculation
    
    There is some breakage with GR_FORTRAN / AC_PROG_F77 when running
    bootstrap.  The gen_interpolator_taps binary is only needed to
    regenerate the MMSE interpolator taps, which hasn't been done for
    several years.  Disable this until proper fix can be done.

commit 3a822b971ba921ba6741eb94a9db0673950ecdff
Author: JohnOrlando <address@hidden>
Date:   Thu May 13 18:24:08 2010 -0500

    Once and for all, here is the properly updated Makefile.am for the apps
    directory...

commit ebb561d028bfd4a3ca2571fb997bb13a514bd87c
Author: JohnOrlando <address@hidden>
Date:   Thu May 13 18:03:13 2010 -0500

    Updated db_bitshark_rx.c to the proper version that includes the
    delays when sending back-to-back I2C commands, as well as the proper
    command when setting the bw (previous blunder with git resulted in
    the wrong version on this branch)

commit 2057623cf8f9e9738954b146d3a23577110f7906
Author: Johnathan Corgan <address@hidden>
Date:   Thu May 13 13:16:46 2010 -0700

    gr-wxgui: update copyrights

commit 3a730f46faf1942c713350b312a1dfeffb587550
Author: Johnathan Corgan <address@hidden>
Date:   Thu May 13 13:11:13 2010 -0700

    gr-wxgui: Renamed "emulate analog" feature to "use persistence"

commit 331542fc51eb47a65161ab6d41c6dc8fd1dd1f22
Merge: 467678259c56fab32a326690cc01eac1dbcb48ca 
49fa13f9fce2037d176c86bf326a7e25a78b72a5
Author: Johnathan Corgan <address@hidden>
Date:   Thu May 13 12:29:59 2010 -0700

    Merge remote branch 'nldudok1/gr-wxgui_emulate_analog' into master
    
    * nldudok1/gr-wxgui_emulate_analog:
      Add analog CRT screen afterglow emulation for gr-wxgui
    
    Conflicts:
        gr-wxgui/src/python/fftsink_gl.py
        gr-wxgui/src/python/fftsink_nongl.py

commit 467678259c56fab32a326690cc01eac1dbcb48ca
Author: Johnathan Corgan <address@hidden>
Date:   Wed May 12 14:56:17 2010 -0700

    Update revision to 3.3.0-rc0

commit 83a28558176a4e6ebcf8f38aef0739659b0a7c1b
Author: Johnathan Corgan <address@hidden>
Date:   Wed May 12 14:37:43 2010 -0700

    Change version numbering to always include minor release

commit 39cb5b8daee27ccca4d1919706910ccff116f45d
Merge: 1fc7c57f778a9f05ded1d216247242ee13632d03 
55364771aee8933ded7e98bd3c873d767f717cec
Author: Tom Rondeau <address@hidden>
Date:   Tue May 11 21:19:15 2010 -0400

    Merge branch 'qtupdate'

commit 55364771aee8933ded7e98bd3c873d767f717cec
Author: Tom Rondeau <address@hidden>
Date:   Tue May 11 21:03:38 2010 -0400

    Fixing up GUI windows to better scale with gtgui sinks.

commit c1c29651e8a13c090228723684d6f693a6ae8c34
Merge: c76897abcbfe1c149bffd27fba0224d3ebc83503 
1fc7c57f778a9f05ded1d216247242ee13632d03
Author: Johnathan Corgan <address@hidden>
Date:   Tue May 11 18:00:19 2010 -0700

    Merge branch 'master' into wip/burx_support
    
    * master: (39 commits)
      Add gru.hexshort to deal with short hex constants
      Assign USB PID for Hans de Bok
      Add missing buffer allocator hint to gr_ofdm_sampler.cc
      Really fix the missing include for boost::bind
      gr-wxgui: Added additional color table entries
      Missed updates for omnithread/mblock removal
      Remove omnithreads library.
      Remove mblock library.  We hardly knew 'ye.
      Convert gr-audio-portaudio to Boost via gruel
      Further updates for removing omnithreads
      Update build configuration for OSX omnithreads changeover
      Add missing include file for boost::bind
      Convert gcell to use boost::threads instead of omnithread.
      Fix sequence error indication after stopping then restarting streaming on 
USRP2.
      initial move from mld_threads to gruel:: namespace threads and such
      Initial changes to remove mld_thread and instead use gruel:: namespace 
classes
      Fixing doxygen warnings from arb_resampler. Also, removed set_taps from 
public
      Fixing doxygen warnings from channelizer block.
      Fixing documentation to get rid of doxygen warnings.
      Adding documentation for fff version of othe PFB clock sync algorithm.
      ...

commit c76897abcbfe1c149bffd27fba0224d3ebc83503
Author: Johnathan Corgan <address@hidden>
Date:   Tue May 11 17:55:55 2010 -0700

    Change default bandwidth to 25 MHz to match maximum USRP2 bandwidth

commit a13d9fd69606935a075f3033fc0b244a011f792b
Author: Tom Rondeau <address@hidden>
Date:   Tue May 11 20:38:06 2010 -0400

    Fixing benchmark loopback to work with new v2's of modulators.

commit 81391dc8d76f4a665ca1b14ef3d094353084d829
Author: John Orlando <address@hidden>
Date:   Tue May 11 16:55:08 2010 -0700

    Update incorrectly checked in Makefile.am

commit 42e7489aa15a799e9a9a86ffd367c4d823080bbf
Author: Johnathan Corgan <address@hidden>
Date:   Tue May 11 14:13:52 2010 -0700

    usrp: Cleanup for merge of bitshark daughterboard code
    
    Removed unused variable
    Set default bandwidth to 8M in constructor

commit b682d4bbe98b72e86219f1f303843b4f4f9ed1b7
Author: Tom Rondeau <address@hidden>
Date:   Mon May 10 20:20:47 2010 -0400

    Fixing benchmark program to work with original dbpsk/dqpsk modulations 
again (and saving the version 2 mods for those marked v2).

commit f20b3e2a48a4b9ae7a8b87b1361749c290d99e39
Author: Tom Rondeau <address@hidden>
Date:   Mon May 10 20:10:32 2010 -0400

    Changes to the examples to fit updates to qtgui.

commit 89ceb6c846e5b859d8fdef4fef84093f18efd3da
Author: Tom Rondeau <address@hidden>
Date:   Mon May 10 19:48:41 2010 -0400

    Simple code cleanup.

commit a45e2a94328fee03910e9dbfa04b48bf9ba15f61
Author: Tom Rondeau <address@hidden>
Date:   Mon May 10 19:47:02 2010 -0400

    Remove one of the loop when posting new data; calculations are done the 
same time the fft shift and scaling operations happen.

commit 1fc7c57f778a9f05ded1d216247242ee13632d03
Author: Eric Blossom <address@hidden>
Date:   Mon May 10 14:31:15 2010 -0700

    Add gru.hexshort to deal with short hex constants

commit 591c12fc9de3a318a983d1e02eed789f76c0b5fb
Author: Eric Blossom <address@hidden>
Date:   Mon May 10 10:42:32 2010 -0700

    Assign USB PID for Hans de Bok

commit 8f1340102fa181a470dd5ec89a92bdb33dc3e288
Author: Tom Rondeau <address@hidden>
Date:   Sun May 9 18:25:17 2010 -0400

    Minor cleanups.

commit 19550b1be84c96eb92b24c88847e1b0d024b366c
Author: Tom Rondeau <address@hidden>
Date:   Sun May 9 13:06:20 2010 -0400

    Updating zoom and axis across plots for consistent zoom levels and behavior.

commit a61fc516f5deeef67b48a704c5426c3969d36248
Author: Don Ward <address@hidden>
Date:   Thu May 6 10:02:35 2010 -0400

    Flush pending errors in gr_udp_sink on disconnect()
    
    On some systems (e.g., Debian/lenny) UDP errors are reported on the
    following send() or recv() call.  To avoid having errors (such as
    ECONNREFUSED) from an old connection showing up on the first write
    to a new connection, we do a recv() on disconnect() to flush them.
    This may not work for all errors on all systems, but it works in
    some simple cases of interest.

commit 761a6f97a623e07f51743d70d1962c98b26bb599
Merge: d702e27d1f3b0e76ef3734ee6b5b6ac1333cdbff 
e22224454f63be561fa2a5923d35b65ba403b6ac
Author: Don Ward <address@hidden>
Date:   Tue May 4 12:47:53 2010 -0400

    Merge branch 'master' into udp

commit d702e27d1f3b0e76ef3734ee6b5b6ac1333cdbff
Author: Don Ward <address@hidden>
Date:   Tue May 4 12:41:52 2010 -0400

    Rework UDP source and sink, with incompatible API changes
    
    Remove source address specifications for sink; add connect() and
    disconnect() to sink; add get_port() to source; add optional EOF
    signaling (using zero-length packets) to sink and source; modify
    dial_tone, vector, and audio examples to match new code; add qa
    test case.

commit 7488244b24a52e6b1a51bcbdb0077e70554c6731
Author: Tom Rondeau <address@hidden>
Date:   Sun May 2 15:00:02 2010 -0400

    Fixes segfault on close. Using the right objective (d_main_gui) instead of 
d_object to delete all of the plotting widgets. As far as Valgrind tells me, 
this cleans up my memory leaks although libqt and libqwt still have some of 
their own.
    
    This checkin also disables the Waterfall3D plotting. It wasn't working 
anyway, but was also causing its own segfault upon deletion. Once it's working 
and deleting nicely, it will be re-enabled.

commit e0df16cf6f62dd0745530955481df8c3eecc9cb2
Author: Tom Rondeau <address@hidden>
Date:   Sat May 1 20:04:13 2010 -0400

    Fixing constellation zoomer's label.

commit e93a81a1f346f1fdef218b115c99f547ccd7ad27
Author: Tom Rondeau <address@hidden>
Date:   Sat May 1 19:48:21 2010 -0400

    Maxing the x-axis of the time domain plot represent the actual time of the 
samples and not just the sample number.

commit f7a73dc6334be8b4ddd0d8308eb1eefa4cad3049
Author: Tom Rondeau <address@hidden>
Date:   Sat May 1 19:11:13 2010 -0400

    Whoops. Time intervals are specified in seconds, not ms...

commit 6606af991b15174cbdbaca738669a21461b0fbe6
Author: Tom Rondeau <address@hidden>
Date:   Sat May 1 19:06:13 2010 -0400

    Fixes the replotting update. It's now based on a QTimer so it's in the 
event buffer as opposed to a best effort based on a hand-made timer. The 
interval between GUI updates is settable through the qtsink_X objects with 
set_update_time(newtime). This update makes the plotting much more stable. It 
also fixes the time scale of the waterfall plot to actually mean the right 
thing.

commit f1b71c9525dd56e2c296a2bca4b2db9ed1a320ce
Author: Tom Rondeau <address@hidden>
Date:   Sat May 1 17:36:07 2010 -0400

    Fixing displayed pen and x-axis to report the right units and scaling of 
frequencies.

commit 94c92b789da2feec05a8aa417619c5d5c40f1e3f
Author: Tom Rondeau <address@hidden>
Date:   Sat May 1 17:26:15 2010 -0400

    Removing PowerLine since we weren't using it and don't have plans to.

commit 22a2621d0d11316a1d8562c5fddd03f162c40d36
Author: Tom Rondeau <address@hidden>
Date:   Sat May 1 17:23:43 2010 -0400

    Peak power line now actually tracks the peak power.

commit 66ff2fa5db423851f69e8eb1b3f4f8ccd434facd
Author: Tom Rondeau <address@hidden>
Date:   Sat May 1 17:20:49 2010 -0400

    Fixes units of pen in frequency plot.

commit e22224454f63be561fa2a5923d35b65ba403b6ac
Author: Eric Blossom <address@hidden>
Date:   Fri Apr 30 18:22:41 2010 -0700

    Add missing buffer allocator hint to gr_ofdm_sampler.cc
    
    This change was needed because gr_ofdm_sampler derives directly from
    gr_block, but functions (from the runtime's point of view) as a
    decimator.  It takes many items in to produce a single output
    item.  Without this change, values of fft_size > ~4096 would result
    in the scheduler complaining that it could never fullfil the block's
    request for samples.
    
    When deriving from gr_sync_interpolator or gr_sync_decimator, their
    constructors set the hint automatically.

commit dda6ed353551d3493983bd56e0ca8ee8ed4407c5
Merge: 04d3f1954b589656b34298b98e36b8667700d89a 
9038b3530d0ec746f401f3c443e2f862fff5a18e
Author: Don Ward <address@hidden>
Date:   Fri Apr 30 17:00:33 2010 -0400

    Merge branch 'master' into udp

commit 04d3f1954b589656b34298b98e36b8667700d89a
Merge: 3ff43f7487b43436cd0f49de80ebff2c1ff1a188 
a301c47751cb61116ae8f49f6b693b3ca6fbadc3
Author: Don Ward <address@hidden>
Date:   Fri Apr 30 16:39:07 2010 -0400

    Merge branch 'master' into udp

commit 3ff43f7487b43436cd0f49de80ebff2c1ff1a188
Author: Don Ward <address@hidden>
Date:   Fri Apr 30 14:48:56 2010 -0400

    Updates to udp source/sink (select(), wait, cleanup)
    
    Use select() to avoid blocking on recv() in gr_udp_source (only known
    way to avoid blocking on Cygwin).
    
    Add wait argument to gr_udp_source to allow waiting for connection
    or accepting lack of connection as EOF; add --no-wait option to
    dial_tone_sink.py.
    
    Remove system dependencies from .h files; remove unused data members
    and (useless?) public open and close functions.

commit 9038b3530d0ec746f401f3c443e2f862fff5a18e
Author: Johnathan Corgan <address@hidden>
Date:   Wed Apr 28 17:32:44 2010 -0700

    Really fix the missing include for boost::bind

commit 8219bc01748d79ca1d995e65914b8c4033a89999
Author: Marcus Leech <address@hidden>
Date:   Wed Apr 28 09:08:13 2010 -0700

    gr-wxgui: Added additional color table entries

commit 910d207edfec4ceeb431c4063dfb1d5b2801198f
Author: Johnathan Corgan <address@hidden>
Date:   Tue Apr 27 00:06:16 2010 -0700

    Missed updates for omnithread/mblock removal

commit c6ad778bda302a9abfe3f1a905d1a80ee34c60a6
Author: Johnathan Corgan <address@hidden>
Date:   Mon Apr 26 23:14:12 2010 -0700

    Remove omnithreads library.

commit ac2b84b93cad94f9a8830eeb5e62dace997c9a47
Author: Johnathan Corgan <address@hidden>
Date:   Mon Apr 26 22:41:19 2010 -0700

    Remove mblock library.  We hardly knew 'ye.

commit a178f23be75489c1507060a8b0e9e59cd358dfba
Author: Johnathan Corgan <address@hidden>
Date:   Mon Apr 26 22:13:34 2010 -0700

    Convert gr-audio-portaudio to Boost via gruel
    
    Remove omnithread dependency in build for gr-audio-portaudio
    Remove unused debugging utility class in gnuradio-core (gri_logger)

commit 665d7a850eeb46bfc6388a98e4a4107648cb6add
Merge: a3278070d3e991ea0a8f6e62bbfc2a2e177fe8e7 
a40feb1dece1b8064f3f81e669f3ed38b60b6dd8
Author: Johnathan Corgan <address@hidden>
Date:   Mon Apr 26 13:05:03 2010 -0700

    Merge branch 'wip/remove-mld-threads'
    
    * wip/remove-mld-threads:
      Further updates for removing omnithreads
      Update build configuration for OSX omnithreads changeover
      initial move from mld_threads to gruel:: namespace threads and such
      Initial changes to remove mld_thread and instead use gruel:: namespace 
classes

commit a40feb1dece1b8064f3f81e669f3ed38b60b6dd8
Author: Johnathan Corgan <address@hidden>
Date:   Mon Apr 26 13:04:23 2010 -0700

    Further updates for removing omnithreads
    
    Updates README
    Removes Darwin specific variable in usrp.pc

commit 49fa13f9fce2037d176c86bf326a7e25a78b72a5
Author: Martin Dudok van Heel <address@hidden>
Date:   Mon Apr 26 19:40:41 2010 +0200

    Add analog CRT screen afterglow emulation for gr-wxgui

commit 1eb2ad3909a0ba16e8c03776d1d26693b9b152a5
Author: Johnathan Corgan <address@hidden>
Date:   Mon Apr 26 09:13:17 2010 -0700

    Update build configuration for OSX omnithreads changeover
    
    gr-audio-osx now depends on gruel (via gnuradio-core) vs. omnithreads
    usrp now depends on gruel vs. omnithreads
    
    Boost base library dependency has been upped to 1.37 for
    Darwin only, for Darwin specific code in usrp host library

commit a3278070d3e991ea0a8f6e62bbfc2a2e177fe8e7
Author: Johnathan Corgan <address@hidden>
Date:   Fri Apr 23 17:11:40 2010 -0700

    Add missing include file for boost::bind

commit 04db1ec3b6dad9d984f7fe4314cca6937c94a1b0
Merge: 8d3a78da9dd988ee5704a83eedd7c71ba8a87607 
052638a76f3c07be744b603c3ba0f392ccc1569b
Author: Eric Blossom <address@hidden>
Date:   Thu Apr 22 22:12:33 2010 -0700

    Merge branch 'wip/gcell-no-omni'
    
    * wip/gcell-no-omni:
      Convert gcell to use boost::threads instead of omnithread.

commit 052638a76f3c07be744b603c3ba0f392ccc1569b
Author: Johnathan Corgan <address@hidden>
Date:   Sun Apr 18 20:13:47 2010 -0700

    Convert gcell to use boost::threads instead of omnithread.
    
    Passes make check.

commit 8d3a78da9dd988ee5704a83eedd7c71ba8a87607
Author: Martin DvH <address@hidden>
Date:   Wed Apr 21 08:18:33 2010 -0700

    Fix sequence error indication after stopping then restarting streaming on 
USRP2.

commit 3b8fcaa640d75573d314fb8616969ad2adf2a099
Author: Don Ward <address@hidden>
Date:   Mon Apr 19 17:02:14 2010 -0400

    Discard data in gr_udp_sink until receiver is started.
    
    Also fixes warnings from gcc 4.3 and adds <boost/bind.hpp> for usrp2.

commit a712b478c2824396408b2ec11584b7d559015b25
Author: Michael Dickens <address@hidden>
Date:   Mon Apr 19 15:35:07 2010 -0600

    initial move from mld_threads to gruel:: namespace threads and such

commit 3e8c1915a289088aa801427defc18a165ba43cd1
Author: Michael Dickens <address@hidden>
Date:   Mon Apr 19 09:29:43 2010 -0600

    Initial changes to remove mld_thread and instead use gruel:: namespace 
classes

commit d1ae6560ab2b8b5d474e58f865314a6cf18b958c
Author: Don Ward <address@hidden>
Date:   Sun Apr 18 19:01:56 2010 -0400

    Use getaddrinfo in gr_udp_{source,sink}
    
    Using getaddrinfo allows more common code between posix and winsock
    systems.  Remove unused variables and #include files.  Close sockets
    when done.

commit 7389f7a46fbad90dc1ae2c8232f770b03c27a38f
Merge: a5d7313aaab2e6d85ffeabae0d97dc44fb0d93de 
78c6890ae5e4445bb4974f6cd2956e961fe6171b
Author: Tom Rondeau <address@hidden>
Date:   Sun Apr 18 17:55:09 2010 -0400

    Merge branch 'pfbclk'

commit 78c6890ae5e4445bb4974f6cd2956e961fe6171b
Author: Tom Rondeau <address@hidden>
Date:   Sun Apr 18 17:51:43 2010 -0400

    Fixing doxygen warnings from arb_resampler. Also, removed set_taps from 
public
    interface since we don't _really_ suppor this right now. Renamed it and 
made it private.

commit 5a3a0361fa8b21f870b51bb778af2f217be49101
Author: Tom Rondeau <address@hidden>
Date:   Sun Apr 18 17:51:04 2010 -0400

    Fixing doxygen warnings from channelizer block.

commit 3ecfbd979393475e810ed08b3c74cbcdf8592f8e
Author: Tom Rondeau <address@hidden>
Date:   Sun Apr 18 17:50:22 2010 -0400

    Fixing documentation to get rid of doxygen warnings.

commit 9361446ea3a0dc78dc25815c3b8cf1f6b27e9069
Author: Tom Rondeau <address@hidden>
Date:   Sun Apr 18 17:49:57 2010 -0400

    Adding documentation for fff version of othe PFB clock sync algorithm.

commit bc5479b083f3c213f963c6c841f2baac7482de70
Author: Tom Rondeau <address@hidden>
Date:   Sun Apr 18 17:42:45 2010 -0400

    Adding documentation to explain how algorithm works.

commit 545901e335f27600c460f749b66d60155a179492
Author: U-DON-WORKBENCH\Don <address@hidden(none)>
Date:   Sun Apr 18 10:19:30 2010 -0400

    Changes to gr_udp_{source,sink} for MinGW
    
    Initialize and cleanup after winsock DLL. Interpret winsock error
    codes. Use DWORD instead of timeval for setting timeout.

commit a5d7313aaab2e6d85ffeabae0d97dc44fb0d93de
Author: Srinivas Naga Vutukuri <address@hidden>
Date:   Sun Mar 21 09:38:17 2010 -0700

    Applied patch from Srinivas Vutukuri to have tx_samples accept -g
    
    Date: Tue, 19 Jan 2010 18:15:08 +0530
    From: srinivas naga vutukuri <address@hidden>
    To: address@hidden
    Subject: [Discuss-gnuradio] tx_samples.cc is not accepting the gain
         parameter input.
    Hi,
    
    I observed that tx_samples.cc is not accepting the gain input parameter
    (ie., -g option). I just changed like this in my code.
    Its working, so posting the changes. If it is acceptable please take it.
    
    best regards,
    srinivas.

commit aeba81012857f6a73c125b8d035c3c15572b3439
Merge: a301c47751cb61116ae8f49f6b693b3ca6fbadc3 
23fcf0ccf9ac30a95afcbba99e478af9ce2081dc
Author: Johnathan Corgan <address@hidden>
Date:   Fri Apr 16 15:32:55 2010 -0700

    Merge commit 'jabele/wbx_tuning'
    
    * commit 'jabele/wbx_tuning':
      Fix WBX tuning to allow DDC use in reaching 50MHz

commit 23fcf0ccf9ac30a95afcbba99e478af9ce2081dc
Author: Jason Abele <address@hidden>
Date:   Fri Apr 16 15:00:51 2010 -0700

    Fix WBX tuning to allow DDC use in reaching 50MHz

commit b0d32c6c20cadaa544aeaa7b5257919674e8d0ad
Author: Don Ward <address@hidden>
Date:   Thu Apr 15 14:37:04 2010 -0400

    Ignore ENOPROTOOPT return from setsockopt(SO_LINGER)
    
    SO_LINGER is not valid for SOCK_DGRAM sockets on Windows, so we
    expect setsockopt to return ENOPROTOOPT (invalid option for
    protocol) on Cygwin and MinGW.  If it happens on any other system
    it should probably be ignored there, too.

commit a301c47751cb61116ae8f49f6b693b3ca6fbadc3
Merge: ff0b9ca818796e3dc1468184fb4586876b9d830d 
96a20bb09dc6b07b3d2651645e579dff6c3f3a45
Author: Johnathan Corgan <address@hidden>
Date:   Thu Apr 15 09:39:36 2010 -0700

    Merge commit 'jblum/grc/tooltip'
    
    * commit 'jblum/grc/tooltip':
      work on the string representations for parameters (large vectors could be 
too much to render, ie use truncation)

commit ff0b9ca818796e3dc1468184fb4586876b9d830d
Merge: 213f8bcef70281a52bab02d2a9e7868749530c3c 
75e55b8112db3de830401270ea4e21b6f636191b
Author: Johnathan Corgan <address@hidden>
Date:   Thu Apr 15 08:05:23 2010 -0700

    Merge branch 'usrp-eeprom' of git://gnuradio.org/jabele
    
    * 'usrp-eeprom' of git://gnuradio.org/jabele:
      Increase sleep to prevent eeprom problems

commit 213f8bcef70281a52bab02d2a9e7868749530c3c
Merge: da8ebdb30509c07718b10b642e2b4250aa45b1d8 
434c113106e0f18b08392e32829015ffdd866513
Author: Tom Rondeau <address@hidden>
Date:   Thu Apr 15 00:35:35 2010 -0400

    Merge branch 'pfbsr'

commit 434c113106e0f18b08392e32829015ffdd866513
Author: Tom Rondeau <address@hidden>
Date:   Thu Apr 15 00:34:05 2010 -0400

    New matplotlib does the fftshift for us when doing mlab.psd. This makes the 
figures make sense again.

commit 2a2e808f56df2a0bf1704aa20b03d0ed4f5bdd5e
Author: Tom Rondeau <address@hidden>
Date:   Thu Apr 15 00:32:14 2010 -0400

    Nevermind that last checkin. THIS is the right answer that doesn't have us 
possibly looking back at input item -1.

commit 7f217fbf1579bd4a37b633d29c15b929bfe8b9d5
Author: Tom Rondeau <address@hidden>
Date:   Wed Apr 14 22:20:00 2010 -0400

    Fixes small bug by handling boundaries properly.

commit 75e55b8112db3de830401270ea4e21b6f636191b
Author: Jason Abele <address@hidden>
Date:   Wed Apr 14 15:07:24 2010 -0700

    Increase sleep to prevent eeprom problems

commit da8ebdb30509c07718b10b642e2b4250aa45b1d8
Author: Eric Blossom <address@hidden>
Date:   Wed Apr 14 13:55:46 2010 -0700

    Add pid for ThinkRF

commit ad973608d979675755cb537f14ae0a53f71e6489
Author: Johnathan Corgan <address@hidden>
Date:   Tue Apr 13 13:51:33 2010 -0700

    Add gruel::thread as synonym to boost::thread for convenience

commit 9600dff866e193391c4df8f5e3f9bd65f3392d26
Author: Tom Rondeau <address@hidden>
Date:   Mon Apr 12 21:22:20 2010 -0400

    The oversampling rate can be only a few values as defined by the number of 
channels and input sample rate.
    This now checks to make sure the user-specified rate is valid.
    Also added some documentation to describe the oversample rate parameter.

commit 1e5d21b4ff14e19593909092ea67593ba0d4c086
Author: Tom Rondeau <address@hidden>
Date:   Mon Apr 12 20:50:56 2010 -0400

    Reworking variables to avoid recalculations/assignments.

commit f1cda009dfd5edd7e1e234b97a4456076aebac0a
Author: Tom Rondeau <address@hidden>
Date:   Mon Apr 12 20:45:10 2010 -0400

    Channelizer can now produce any rational ratio of the sample rate in [fs/N, 
 fs] where fs is the input sample rate and N is the number of channels. The 
ratios work out to be N/i for i in [1, N].

commit 69c6a0739f4df46d49e3ed4c151f99f8eca2a5de
Author: Tom Rondeau <address@hidden>
Date:   Mon Apr 12 17:28:39 2010 -0400

    Moving towards gr_block implementation to enable non-integer output rates. 
Also, cleaning up a bit wrt class members.

commit 290fc2315a6b7f2d2bc6b98318783e3278a97453
Author: Johnathan Corgan <address@hidden>
Date:   Sun Apr 11 13:30:45 2010 -0700

    Removed omnithreads dependency from libusrp2

commit 08c3b8fe72915e30bc62d0d944640a41c5315e8c
Author: Tom Rondeau <address@hidden>
Date:   Fri Apr 9 00:40:36 2010 -0400

    Every other time through the channelizer, the FFT input buffer must be 
flipped. The commented code removed in this checkin does that through a 
calculation in the loops, but the way the filter assignment works with this 
code, the flip is done by keeping the indexing static, so a look up table works 
and is slightly faster.

commit 520e64c211324ef32dabe66fa492825fe5c3eda1
Author: Tom Rondeau <address@hidden>
Date:   Fri Apr 9 00:39:55 2010 -0400

    Removing other version of code for different sample rates.

commit a88f6c0ab090c2d22707564fbd6db45a85567c59
Author: Tom Rondeau <address@hidden>
Date:   Fri Apr 9 00:37:03 2010 -0400

    Working version of channelizer allowing an integer multiple of the sampling 
rate out.
    There are two versions of the code in here with the second way being more 
general and efficient. This one should be more extendable to allowing rational 
ratio output sampling rates.

commit e06d290279803c47bff2331859d6ad4e68236a13
Author: Tom Rondeau <address@hidden>
Date:   Wed Apr 7 18:25:46 2010 -0400

    Opening up channelizer to have different sampling rates out. This first 
pass produces the correct output for oversampling_rate = 1.

commit 547d65b6c4353a899809136af06f393810aaad03
Author: JohnOrlando <address@hidden>
Date:   Tue Mar 30 20:31:56 2010 -0500

    Including bitshark_rx.h header file for USRP2 build

commit 3e214cb7ba3a80495db77c4f92132c4497667a69
Author: JohnOrlando <address@hidden>
Date:   Tue Mar 30 20:30:09 2010 -0500

    Fixed issue with with wrong Makefile.am files being copied

commit a75b2f5b4506a3381e712c546a70e3a55a1f8dcd
Author: JohnOrlando <address@hidden>
Date:   Tue Mar 30 20:02:08 2010 -0500

    Add support for the Bitshark USRP RX (BURX) daughterboard for the USRP2.

commit d5fe3b38d5f406b111721ebf789323b49b8eff9d
Author: JohnOrlando <address@hidden>
Date:   Tue Mar 30 19:54:39 2010 -0500

    Add support for the Bitshark USRP RX (BURX) daughterboard for the USRP1.

commit 96a20bb09dc6b07b3d2651645e579dff6c3f3a45
Author: Josh Blum <address@hidden>
Date:   Tue Mar 23 23:39:05 2010 -0700

    work on the string representations for parameters (large vectors could be 
too much to render, ie use truncation)

commit 1ae689ff9238dcffbf65881b8ca03aa8df3844aa
Author: Johnathan Corgan <address@hidden>
Date:   Sun Mar 21 16:17:15 2010 -0700

    Add new block gr.additive_scrambler_bb()
    
    This block performs scrambling by XORing the input sequence with
    the output of an LFSR.  Repeating this operation restores the original
    sequence.
    
    (This differs from gr.scrambler_bb(), which convolves the input sequence
    with the LFSR output.)
    
    The additive scrambler allows an optional bit count after which the LFSR
    is reset to its initial seed.  This allows use with, e.g., packetized
    fixed length payloads.

commit 769b8bbe0aed088b6d1582d2d81d0966cee4c604
Merge: f322a2af4e9ac58a10e263cd6d8299e0c6547470 
645768d1b067fe83ccc65f4a834ee384ea4560d9
Author: Johnathan Corgan <address@hidden>
Date:   Sun Mar 21 09:09:13 2010 -0700

    Merge branch 'grc/dualusrp' of git://gnuradio.org/jblum

commit f322a2af4e9ac58a10e263cd6d8299e0c6547470
Merge: 29971e477e79fedce0730b4ece91bae468544e5c 
d844c4f06dc10c8499eb2b8f1cb5b55f55a5b48d
Author: Johnathan Corgan <address@hidden>
Date:   Sun Mar 21 09:07:39 2010 -0700

    Merge branch 'grc/menu' of git://gnuradio.org/jblum

commit 29971e477e79fedce0730b4ece91bae468544e5c
Author: Juha Vierinen <address@hidden>
Date:   Sun Mar 21 08:58:22 2010 -0700

    Fix extraneous import in gr-gpio
    
    Signed-off-by: Johnathan Corgan <address@hidden>

commit dc56ffae0e22141e0acc3a9976c12de0cbd121a2
Author: Johnathan Corgan <address@hidden>
Date:   Sun Mar 21 08:40:39 2010 -0700

    Added missing header includes for memcpy

commit ac422b700282e21956dbf7643ee2dfbeeebdaf45
Merge: 1bec5c5eaef7ff2e98f37ff60d6b61c1310e582d 
a34f397a4ba7e150268d40f87fede4e3663a9853
Author: Tom Rondeau <address@hidden>
Date:   Sun Mar 14 22:55:25 2010 -0400

    Merge branch 'fftfilt'

commit a34f397a4ba7e150268d40f87fede4e3663a9853
Author: Tom Rondeau <address@hidden>
Date:   Sun Mar 14 22:53:53 2010 -0400

    Removing SSE version of FFT filter until we work out how we want to handle 
the SSE  the use of intrinics. Code for the SSE versions is still there just 
disabled.

commit 1bec5c5eaef7ff2e98f37ff60d6b61c1310e582d
Merge: 18ecca1b9e57f047130a124bd8cc90cbe34feff0 
6de98961cf9b93c486c8c6825789de94dc79afae
Author: Tom Rondeau <address@hidden>
Date:   Sun Mar 14 18:39:03 2010 -0400

    Merge branch 'digital'

commit 6de98961cf9b93c486c8c6825789de94dc79afae
Author: Tom Rondeau <address@hidden>
Date:   Sun Mar 14 18:37:23 2010 -0400

    Fixing copyright of a couple of stragglers.

commit c11ce18def574dabf46532baba924429d421b565
Author: Tom Rondeau <address@hidden>
Date:   Sun Mar 14 17:36:37 2010 -0400

    Fixing copyright dates.

commit b53bc1395bd2e8b1ad8a34c583d36fb4ddda7629
Author: Tom Rondeau <address@hidden>
Date:   Sun Mar 14 17:32:23 2010 -0400

    Making old and new digital modulations completely seperable by adding 
modulation_utils2 so only new modulator blocks work with the version 2 of 
everything. Also changed some internal names for clarity.

commit 8c163c9cca7aa39862c8c8eb19a3e0a18c61a661
Merge: 6a617516e0678df0c6cb94319cb5980556d49793 
d7aa018010c828b2bedf0e3974c9d11d41088258
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 13 20:16:46 2010 -0500

    Merge branch 'digital' of vps.gnuradio.org:trondeau into digital

commit d7aa018010c828b2bedf0e3974c9d11d41088258
Merge: 52ae8be371d71f8c0817b2a88593ff6c378b9613 
1ba78b5f4c6bc2468b6fb798f41837a8ab2be89b
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 13 20:15:37 2010 -0500

    Merge branch 'digital' of address@hidden:trondeau into digital
    
    Conflicts:
        gnuradio-examples/python/digital/benchmark_qt_rx2.py

commit 6a617516e0678df0c6cb94319cb5980556d49793
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 13 20:13:01 2010 -0500

    Fixing call to usrp_receive_path2.

commit ec8e9c7e113046c66176498f12ec79f11d3da65e
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 13 20:08:50 2010 -0500

    Adding version 2 of receive path so as not to affect old dbpsk and dqpsk 
operations.

commit 52ae8be371d71f8c0817b2a88593ff6c378b9613
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 13 20:08:02 2010 -0500

    Making a version 2 of transmit path so as not to affect old dbpsk and dqpsk 
operations.

commit c7b26f667de792209225b8244e92842b2399368c
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 13 16:23:34 2010 -0500

    Now have a FFT filter implemented in SSE and generic version that can be 
switched into FFT filter block.

commit 1933148ce1c78a81b1299c05d540a77b31325d92
Author: Tom Rondeau <address@hidden>
Date:   Thu Mar 11 22:54:50 2010 -0500

    Adding SSE version of fft filters. Complex (ccc) version working.

commit 645768d1b067fe83ccc65f4a834ee384ea4560d9
Author: Josh Blum <address@hidden>
Date:   Wed Mar 10 00:12:47 2010 -0800

    Trial feature for different source sides for usrp dual source.

commit d844c4f06dc10c8499eb2b8f1cb5b55f55a5b48d
Author: Josh Blum <address@hidden>
Date:   Tue Mar 9 12:40:43 2010 -0800

    added a right click context menu for the flow graph elements

commit 18ecca1b9e57f047130a124bd8cc90cbe34feff0
Author: Eric Blossom <address@hidden>
Date:   Mon Mar 8 17:21:43 2010 -0800

    Replace some calls to pmt constructors with mp(foo) shorthand.

commit cbbe4816f99ac934427823c43d2f6f3f1c5f7995
Author: Eric Blossom <address@hidden>
Date:   Mon Mar 8 17:08:09 2010 -0800

    Convert pmt_dict type into an immutable data structure.
    
    This change allows us to safely pass dicts in messages.

commit 324971d366b9b194d9a6af4ddd581f434d02cb43
Author: Eric Blossom <address@hidden>
Date:   Sun Mar 7 14:06:17 2010 -0800

    Add check_topology, delete d_diff_filters in dtor, cleanup init and use of
    logging output streams.

commit 1ba78b5f4c6bc2468b6fb798f41837a8ab2be89b
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 6 17:17:29 2010 -0500

    Changing FLL parameter range for use with new code.

commit ebd5449ebf8c08f78cada720eb47769bdfaa4bde
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 6 17:16:19 2010 -0500

    Small fixes for loopback with new code.

commit ff876f7cc310bbdf1c5d05f85daba0afdb33561a
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 6 17:15:33 2010 -0500

    Fixing how new pick_bitrate is used.

commit 5b0fbc73d7e35b617df517a5182c00731f4c66d7
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 6 17:12:46 2010 -0500

    Using better defaults for new FLL implementation.

commit a5ee5af754abd66a7d7751868e0dcea8c8299264
Author: Tom Rondeau <address@hidden>
Date:   Sat Mar 6 17:11:58 2010 -0500

    Improved performance of FLL using a more robust error term.

commit 901e0ad28d1f65eb10362fffd00693426db84ed4
Author: Eric Blossom <address@hidden>
Date:   Thu Mar 4 17:00:20 2010 -0800

    Add command that builds a new 'out-of-tree' project.

commit 821fab6294f69de6c4b5daf49a969a1904749c48
Author: Eric Blossom <address@hidden>
Date:   Thu Mar 4 13:55:22 2010 -0800

    doc fix (in generated file)

commit 642252d8aa82f88a3789c31079bc7ff8538465da
Author: Eric Blossom <address@hidden>
Date:   Thu Mar 4 11:59:39 2010 -0800

    doc fix

commit d3e95c965048bb7fe232f53219baff5d9d182fcc
Author: Johnathan Corgan <address@hidden>
Date:   Thu Mar 4 07:51:57 2010 -0800

    Fix distcheck failure in gr-howto-write-a-block
    
    Some of the swig include files were not getting put into the dist tarball

commit 72edafee64f906f4e5db07ac66032492c6a7a617
Merge: eb6ff48d9b5894d1662f361d82d2c7cd80b35218 
6c3b6396bc420228215072b2fc1b83c82eaeb291
Author: Eric Blossom <address@hidden>
Date:   Thu Mar 4 07:06:30 2010 -0800

    Merge branch 'howto'
    
    * howto:
      Refactor gr-howto-write-a-block such that it no longer installs into

commit 6c3b6396bc420228215072b2fc1b83c82eaeb291
Author: Eric Blossom <address@hidden>
Date:   Thu Mar 4 07:02:42 2010 -0800

    Refactor gr-howto-write-a-block such that it no longer installs into
    the gnuradio python namespace, but rather in the howto namespace.
    
    This allows it to be installed under a separate --prefix in the common
    case where the user doing the "out of tree build" is going to be
    installing their new and/or modified code somewhere other than the
    system installation directories.
    
    Any code that uses these blocks should now import them using:
    
      import howto
    
    instead of
    
      from gnuradio import howto

commit eb6ff48d9b5894d1662f361d82d2c7cd80b35218
Author: Josh Blum <address@hidden>
Date:   Wed Mar 3 16:42:50 2010 -0800

    Fixed bug in checking if path is writable.
    Some moron put quotes around the variable name...

commit e259d4d2eeb0b152e1e042333a8b36e07f5ad094
Author: Josh Blum <address@hidden>
Date:   Wed Mar 3 10:00:27 2010 -0800

    If the directory is read-only, use the systems tmp directory for generated 
py files.

commit b811e8749dcd7c96f1af2d98caba403e50c1602b
Merge: 97275c32783a8831c7eac3786257fe2e142d95c0 
4c296bd1f0e7769db69ca15bcc528802b06f752c
Author: Johnathan Corgan <address@hidden>
Date:   Tue Mar 2 09:15:09 2010 -0800

    Merge branch 'usrp2_set_ant' of git://gnuradio.org/jblum

commit 97275c32783a8831c7eac3786257fe2e142d95c0
Author: Johnathan Corgan <address@hidden>
Date:   Tue Mar 2 08:08:18 2010 -0800

    Add README for new FPGA hosting location

commit 4c296bd1f0e7769db69ca15bcc528802b06f752c
Author: Josh Blum <address@hidden>
Date:   Mon Mar 1 17:38:21 2010 -0800

    set antenna defaults to 0

commit bc8a3bde9b1e6c30ec5fd3d18fea299ac613f404
Author: Tom Rondeau <address@hidden>
Date:   Sun Feb 28 18:39:59 2010 -0500

    Adding Doxygen comments to new FFT filter blocks.

commit 72c47024f4b4677f116222a0adfadeedf180122c
Author: Tom Rondeau <address@hidden>
Date:   Sun Feb 28 17:37:48 2010 -0500

    New fft filter base class to handle complex data types. Passes make check.

commit f35a7d31f6650752dac693e3f412e5e0dcaf66fa
Author: Tom Rondeau <address@hidden>
Date:   Sun Feb 28 17:36:59 2010 -0500

    Renaming ffft filter base class to reflect its use with floats.

commit a2c00f5cff7407ff10fc6c812d06fefe52c0b6a3
Author: Johnathan Corgan <address@hidden>
Date:   Sun Feb 28 12:47:43 2010 -0800

    Remove usrp1 and usrp2 FPGA files.  These are now hosted at:
    
    git://ettus.sourcerepo.com/ettus/fpga.git
    
    ...under the 'usrp1' and 'usrp2' top-level directories.

commit 94a853ab5eaca2afc6ac7c5f33406d8299ed3bcf
Author: Tom Rondeau <address@hidden>
Date:   Sat Feb 27 21:03:46 2010 -0500

    Adding a base class to handle FFT filters for use in other blocks. Old 
fft_filter_fff now uses new class successfully and passes make check.

commit b532f4b7b6030665cbd03a19dd776932d31a1734
Author: Tom Rondeau <address@hidden>
Date:   Sat Feb 27 17:37:45 2010 -0500

    Fixing use of pick_bitrate2 in QT Rx2.

commit b45af8e91d37ac660f24f858a672a69952cc0e49
Author: Tom Rondeau <address@hidden>
Date:   Sat Feb 27 17:34:34 2010 -0500

    Fixing logging in new DBPSK and DQPSK.

commit 598924aa8be0740583d9d32f99269e1e55134b6e
Author: Tom Rondeau <address@hidden>
Date:   Fri Feb 26 10:11:39 2010 -0500

    Fixing a few bugs in handling of real samples per symbol.

commit 93c51f5c02311f89dc6eb47923b34b13038c805c
Author: Tom Rondeau <address@hidden>
Date:   Fri Feb 26 09:58:39 2010 -0500

    Fixes pick_bitrate2 for use on receiver side.

commit 752df2b84454756685dc2a05c232b59e3ebdaa2e
Author: Josh Blum <address@hidden>
Date:   Thu Feb 25 16:50:44 2010 -0800

    setting xcvr antennas through api

commit 85aad96915db5f53897f91257794c85eb67f39d4
Author: Tom Rondeau <address@hidden>
Date:   Thu Feb 25 18:50:24 2010 -0500

    Fixing pick_bitrate2 for transmit side with all cases tested.

commit 803cb1d7974ed7d419550cc66480c107c2f0d454
Author: Josh Blum <address@hidden>
Date:   Thu Feb 25 15:46:01 2010 -0800

    Added call to set antenna. Split XCVR into new thing cuz size.

commit db29a2cfc18554ae0a3c55a4e13dc4cbfa86317f
Author: Josh Blum <address@hidden>
Date:   Wed Feb 24 13:48:36 2010 -0800

    updated wiki url

commit 7d5e47e14126e37ad78164df448d0fcc4b04c558
Author: Tom Rondeau <address@hidden>
Date:   Mon Feb 15 15:31:52 2010 -0800

    New pick_bitrate2 will take any combination of bitrate, samples per symbol, 
and converter rate.

commit b845770e33b584a88150dc97b45c7b0807791693
Author: Tom Rondeau <address@hidden>
Date:   Wed Feb 10 16:12:32 2010 -0800

    Working on pick_bitrate.

commit 9f966c1f5123de5a16c810c52c2895ba0db8e2dc
Author: Tom Rondeau <address@hidden>
Date:   Tue Feb 9 12:27:30 2010 -0800

    Arbitrary bit rates seems to be working.

commit 33a573f76291a32585f85df0c8a5a9f894bd27fd
Author: Tom Rondeau <address@hidden>
Date:   Tue Feb 9 09:58:11 2010 -0800

    Removing resampler from usrp transmit path as its taken care of in the 
modulators.

commit f6010974b8f0af65a8b1a875587bb4a7810565ce
Author: Tom Rondeau <address@hidden>
Date:   Mon Feb 8 21:30:09 2010 -0800

    Setting up code to handle setting of samples per symbol properly. Still 
buggy in the transmitter due to the make packet padding to 512 bytes.

commit fe3150d5e5a0bb7bf98b5f44ad0b68a107bd7f9a
Author: Tom Rondeau <address@hidden>
Date:   Mon Feb 8 21:12:39 2010 -0800

    Adding a pick bitrate calculation for new tx/rx modulators with arbitrary 
samples per second to allow and real valued bitrate.

-----------------------------------------------------------------------

Summary of changes:
 Makefile.common                                    |   15 +-
 README                                             |    2 +-
 README.components                                  |  150 -
 README.organization                                |  239 -
 config/Makefile.am                                 |    5 +-
 config/gr_omnithread.m4                            |   52 -
 config/gr_version.m4                               |   19 +-
 config/grc_gcell.m4                                |    5 +-
 config/grc_gr_audio_osx.m4                         |    5 +-
 config/grc_gr_audio_portaudio.m4                   |    1 -
 config/grc_mblock.m4                               |   59 -
 config/grc_omnithread.m4                           |   46 -
 config/grc_usrp.m4                                 |   16 +-
 config/grc_usrp2.m4                                |    5 +-
 config/grc_vrt.m4                                  |   64 -
 configure.ac                                       |   25 +-
 docs/doxygen/Doxyfile.in                           |    3 -
 docs/doxygen/other/Makefile.am                     |    5 +-
 docs/doxygen/other/omnithread.html                 |  411 -
 docs/doxygen/other/omnithread.pdf                  |  Bin 44848 -> 0 bytes
 docs/doxygen/other/omnithread.ps                   |  730 -
 gcell/apps/Makefile.am                             |    4 +-
 gcell/apps/benchmark_dma.cc                        |   12 +-
 gcell/apps/benchmark_nop.cc                        |   12 +-
 gcell/apps/benchmark_roundtrip.cc                  |   12 +-
 gcell/gcell.pc.in                                  |    2 +-
 gcell/lib/Makefile.am                              |    2 +-
 gcell/lib/runtime/Makefile.am                      |    4 +-
 gcell/lib/runtime/gc_client_thread_info.h          |   10 +-
 gcell/lib/runtime/gc_job_manager_impl.cc           |   45 +-
 gcell/lib/runtime/gc_job_manager_impl.h            |   10 +-
 gnuradio-core/gnuradio-core.pc.in                  |    2 +-
 .../src/gen_interpolator_taps/Makefile.am          |   18 +-
 gnuradio-core/src/lib/filter/Makefile.am           |    4 +
 gnuradio-core/src/lib/filter/gr_fft_filter_ccc.cc  |  143 +-
 gnuradio-core/src/lib/filter/gr_fft_filter_ccc.h   |   21 +-
 gnuradio-core/src/lib/filter/gr_fft_filter_fff.cc  |  157 +-
 gnuradio-core/src/lib/filter/gr_fft_filter_fff.h   |   22 +-
 .../src/lib/filter/gr_pfb_arb_resampler_ccf.cc     |   12 +-
 .../src/lib/filter/gr_pfb_arb_resampler_ccf.h      |   25 +-
 .../src/lib/filter/gr_pfb_channelizer_ccf.cc       |   91 +-
 .../src/lib/filter/gr_pfb_channelizer_ccf.h        |   58 +-
 .../src/lib/filter/gr_pfb_channelizer_ccf.i        |   10 +-
 .../src/lib/filter/gr_pfb_clock_sync_ccf.cc        |   15 +-
 .../src/lib/filter/gr_pfb_clock_sync_ccf.h         |   99 +-
 .../src/lib/filter/gr_pfb_clock_sync_fff.cc        |   15 +-
 .../src/lib/filter/gr_pfb_clock_sync_fff.h         |  101 +-
 .../src/lib/filter/gri_fft_filter_ccc_generic.cc   |  167 +
 .../src/lib/filter/gri_fft_filter_ccc_generic.h    |   82 +
 .../src/lib/filter/gri_fft_filter_ccc_sse.cc       |  186 +
 .../src/lib/filter/gri_fft_filter_ccc_sse.h        |   82 +
 .../src/lib/filter/gri_fft_filter_fff_generic.cc   |  158 +
 .../src/lib/filter/gri_fft_filter_fff_generic.h    |   80 +
 .../src/lib/filter/gri_fft_filter_fff_sse.cc       |  184 +
 .../src/lib/filter/gri_fft_filter_fff_sse.h        |   81 +
 gnuradio-core/src/lib/general/Makefile.am          |    6 +-
 gnuradio-core/src/lib/general/general.i            |    4 +-
 .../src/lib/general/gr_additive_scrambler_bb.cc    |   65 +
 .../src/lib/general/gr_additive_scrambler_bb.h     |   67 +
 .../src/lib/general/gr_additive_scrambler_bb.i     |   31 +
 .../src/lib/general/gr_dd_mpsk_sync_cc.cc          |  196 -
 gnuradio-core/src/lib/general/gr_dd_mpsk_sync_cc.h |   93 -
 gnuradio-core/src/lib/general/gr_dd_mpsk_sync_cc.i |   34 -
 .../src/lib/general/gr_fll_band_edge_cc.cc         |   27 +-
 .../src/lib/general/gr_fll_band_edge_cc.h          |   16 +-
 gnuradio-core/src/lib/general/gr_ofdm_sampler.cc   |    3 +-
 gnuradio-core/src/lib/general/gri_lfsr.h           |   12 +-
 gnuradio-core/src/lib/io/Makefile.am               |    2 -
 gnuradio-core/src/lib/io/gr_udp_sink.cc            |  314 +-
 gnuradio-core/src/lib/io/gr_udp_sink.h             |   98 +-
 gnuradio-core/src/lib/io/gr_udp_sink.i             |   22 +-
 gnuradio-core/src/lib/io/gr_udp_source.cc          |  273 +-
 gnuradio-core/src/lib/io/gr_udp_source.h           |   90 +-
 gnuradio-core/src/lib/io/gr_udp_source.i           |   15 +-
 gnuradio-core/src/lib/io/gri_logger.cc             |  178 -
 gnuradio-core/src/lib/io/gri_logger.h              |   59 -
 gnuradio-core/src/python/gnuradio/Makefile.am      |    1 +
 .../src/python/gnuradio/blks2impl/Makefile.am      |    2 +-
 .../src/python/gnuradio/blks2impl/dbpsk.py         |   10 +-
 .../src/python/gnuradio/blks2impl/dbpsk2.py        |   66 +-
 .../src/python/gnuradio/blks2impl/dqpsk2.py        |   60 +-
 .../python/gnuradio/blks2impl/pfb_channelizer.py   |    8 +-
 gnuradio-core/src/python/gnuradio/gr/Makefile.am   |    3 +-
 .../src/python/gnuradio/gr/qa_scrambler.py         |   20 +
 .../src/python/gnuradio/gr/qa_udp_sink_source.py   |   99 +
 .../src/python/gnuradio/gruimpl/hexint.py          |   12 +
 .../src/python/gnuradio/modulation_utils2.py       |   81 +
 gnuradio-core/src/python/gnuradio/packet_utils.py  |    2 +-
 gnuradio-examples/grc/demod/digital_freq_lock.grc  |  210 +-
 gnuradio-examples/grc/demod/pam_sync.grc           |  222 +-
 .../python/digital/benchmark_loopback.py           |    5 +
 .../python/digital/benchmark_qt_loopback.py        |   31 +-
 .../python/digital/benchmark_qt_loopback2.py       |   39 +-
 .../python/digital/benchmark_qt_rx2.py             |   23 +-
 gnuradio-examples/python/digital/benchmark_rx2.py  |  114 +
 gnuradio-examples/python/digital/benchmark_tx2.py  |  135 +
 gnuradio-examples/python/digital/pick_bitrate2.py  |  154 +
 .../python/digital/qt_digital_window.py            |  232 +-
 .../python/digital/qt_digital_window.ui            |  685 +-
 .../python/digital/qt_digital_window2.py           |  247 +-
 .../python/digital/qt_digital_window2.ui           |  873 +-
 gnuradio-examples/python/digital/qt_rx_window.py   |   43 +-
 gnuradio-examples/python/digital/qt_rx_window.ui   |  256 +-
 gnuradio-examples/python/digital/qt_rx_window2.py  |   33 +-
 gnuradio-examples/python/digital/qt_rx_window2.ui  |  237 +-
 gnuradio-examples/python/digital/receive_path.py   |    4 +-
 gnuradio-examples/python/digital/transmit_path.py  |    4 +-
 .../python/digital/usrp_receive_path.py            |   30 +-
 .../python/digital/usrp_receive_path2.py           |   96 +
 .../python/digital/usrp_transmit_path.py           |   32 +-
 .../python/digital/usrp_transmit_path2.py          |  101 +
 gnuradio-examples/python/network/audio_sink.py     |   20 +-
 gnuradio-examples/python/network/audio_source.py   |   21 +-
 gnuradio-examples/python/network/dial_tone_sink.py |   20 +-
 .../python/network/dial_tone_source.py             |   22 +-
 gnuradio-examples/python/network/vector_sink.py    |   19 +-
 gnuradio-examples/python/network/vector_source.py  |   20 +-
 gnuradio-examples/python/pfb/channelize.py         |    4 +-
 gr-audio-osx/src/Makefile.am                       |    8 +-
 gr-audio-osx/src/audio_osx_sink.cc                 |   35 +-
 gr-audio-osx/src/audio_osx_sink.h                  |    6 +-
 gr-audio-osx/src/audio_osx_source.cc               |   49 +-
 gr-audio-osx/src/audio_osx_source.h                |    6 +-
 gr-audio-osx/src/circular_buffer.h                 |   69 +-
 gr-audio-osx/src/mld_threads.h                     |  272 -
 gr-audio-portaudio/src/Makefile.am                 |    1 -
 gr-audio-portaudio/src/audio_portaudio_sink.cc     |   67 +-
 gr-audio-portaudio/src/audio_portaudio_sink.h      |    6 +-
 gr-audio-portaudio/src/audio_portaudio_source.cc   |   82 +-
 gr-audio-portaudio/src/audio_portaudio_source.h    |   13 +-
 gr-gpio/src/python/gpio.py                         |    2 -
 gr-howto-write-a-block/Makefile.common             |   16 +-
 gr-howto-write-a-block/Makefile.swig.gen.t         |   30 +-
 gr-howto-write-a-block/apps/howto_square.py        |    2 +-
 gr-howto-write-a-block/config.guess                |  239 +-
 gr-howto-write-a-block/config.sub                  |   91 +-
 gr-howto-write-a-block/config/gr_version.m4        |   19 +-
 gr-howto-write-a-block/grc/howto_square2_ff.xml    |    2 +-
 gr-howto-write-a-block/grc/howto_square_ff.xml     |    2 +-
 gr-howto-write-a-block/lib/Makefile.am             |   32 +-
 gr-howto-write-a-block/python/Makefile.am          |    6 +-
 gr-howto-write-a-block/python/run_tests.in         |   24 +
 gr-howto-write-a-block/swig/Makefile.am            |   11 +-
 gr-howto-write-a-block/swig/Makefile.swig.gen      |   14 +-
 gr-howto-write-a-block/version.sh                  |    4 +-
 gr-qtgui/src/lib/ConstellationDisplayPlot.cc       |   41 +-
 gr-qtgui/src/lib/ConstellationDisplayPlot.h        |    5 +-
 gr-qtgui/src/lib/FrequencyDisplayPlot.cc           |  110 +-
 gr-qtgui/src/lib/FrequencyDisplayPlot.h            |    4 +-
 gr-qtgui/src/lib/SpectrumGUIClass.cc               |   15 +-
 gr-qtgui/src/lib/SpectrumGUIClass.h                |    5 +-
 gr-qtgui/src/lib/TimeDomainDisplayPlot.cc          |  125 +-
 gr-qtgui/src/lib/TimeDomainDisplayPlot.h           |    9 +-
 gr-qtgui/src/lib/WaterfallDisplayPlot.cc           |  123 +-
 gr-qtgui/src/lib/WaterfallDisplayPlot.h            |    4 +-
 gr-qtgui/src/lib/qtgui_sink_c.cc                   |   14 +-
 gr-qtgui/src/lib/qtgui_sink_c.h                    |    4 +
 gr-qtgui/src/lib/qtgui_sink_f.cc                   |   14 +-
 gr-qtgui/src/lib/qtgui_sink_f.h                    |    4 +
 gr-qtgui/src/lib/spectrumUpdateEvents.cc           |   27 +-
 gr-qtgui/src/lib/spectrumUpdateEvents.h            |   35 +-
 gr-qtgui/src/lib/spectrumdisplayform.cc            |  Bin 27362 -> 27467 bytes
 gr-qtgui/src/lib/spectrumdisplayform.h             |    7 +-
 gr-qtgui/src/lib/spectrumdisplayform.ui            |   74 +-
 gr-qtgui/src/python/pyqt_example.py                |    4 +-
 gr-qtgui/src/python/pyqt_example_f.py              |    4 +-
 gr-qtgui/src/python/qt_digital.py                  |   10 +-
 gr-qtgui/src/python/qt_digital_window.py           |  164 +-
 gr-qtgui/src/python/qt_digital_window.ui           |  495 +-
 gr-qtgui/src/python/usrp2_display.py               |    4 +-
 gr-usrp2/src/usrp2.i                               |    2 +
 gr-usrp2/src/usrp2_sink_base.cc                    |    8 +-
 gr-usrp2/src/usrp2_sink_base.h                     |    7 +-
 gr-usrp2/src/usrp2_source_base.cc                  |    6 +
 gr-usrp2/src/usrp2_source_base.h                   |    7 +-
 gr-utils/src/python/Makefile.am                    |    1 +
 .../src/python/create-gnuradio-out-of-tree-project |   69 +
 gr-wxgui/src/python/constants.py                   |    4 +-
 gr-wxgui/src/python/fft_window.py                  |   53 +-
 gr-wxgui/src/python/fftsink_gl.py                  |   39 +-
 gr-wxgui/src/python/fftsink_nongl.py               |   62 +-
 gr-wxgui/src/python/plot.py                        |  100 +-
 gr-wxgui/src/python/plotter/channel_plotter.py     |    3 +-
 gr-wxgui/src/python/plotter/plotter_base.py        |   38 +-
 gr-wxgui/src/python/scope_window.py                |   57 +-
 gr-wxgui/src/python/scopesink_gl.py                |   34 +-
 grc/blocks/Makefile.am                             |    2 +-
 grc/blocks/block_tree.xml                          |    2 +-
 grc/blocks/gr_additive_scrambler_bb.xml            |   44 +
 grc/blocks/gr_dd_mpsk_sync_cc.xml                  |   65 -
 grc/blocks/gr_udp_sink.xml                         |   32 +-
 grc/blocks/gr_udp_source.xml                       |   20 +-
 grc/blocks/usrp2_sink_xxxx.xml                     |   21 +-
 grc/blocks/usrp2_source_xxxx.xml                   |   21 +-
 grc/blocks/usrp_dual_source_x.xml                  |   36 +-
 grc/freedesktop/Makefile.am                        |    2 +-
 .../gnuradio-gnuradio-companion.desktop            |    8 +
 grc/freedesktop/gnuradio-grc.desktop               |    8 -
 grc/freedesktop/grc_setup_freedesktop.in           |    2 +-
 grc/grc_gnuradio/usrp/dual_usrp.py                 |    8 +-
 grc/gui/DrawingArea.py                             |   12 +-
 grc/gui/FlowGraph.py                               |   41 +-
 grc/gui/Param.py                                   |   15 +-
 grc/python/Generator.py                            |    7 +-
 grc/python/Param.py                                |   32 +-
 grc/python/Platform.py                             |    4 +-
 grc/scripts/Makefile.am                            |    4 +-
 grc/scripts/{grc => gnuradio-companion}            |    0
 gruel/src/include/gruel/pmt.h                      |   21 +-
 gruel/src/include/gruel/thread.h                   |   10 +-
 gruel/src/lib/Makefile.am                          |    3 +-
 gruel/src/lib/pmt/pmt.cc                           |  176 +-
 gruel/src/lib/pmt/pmt_int.h                        |   27 +-
 gruel/src/lib/pmt/qa_pmt_prims.cc                  |  112 +-
 gruel/src/lib/thread.cc                            |   35 +
 mblock/.gitignore                                  |    3 -
 mblock/Makefile.am                                 |   31 -
 mblock/README                                      |   24 -
 mblock/doc/.gitignore                              |   18 -
 mblock/doc/Makefile.am                             |   44 -
 mblock/mblock.pc.in                                |   11 -
 mblock/src/.gitignore                              |   10 -
 mblock/src/Makefile.am                             |   22 -
 mblock/src/include/.gitignore                      |    2 -
 mblock/src/include/Makefile.am                     |   24 -
 mblock/src/include/mblock/.gitignore               |    2 -
 mblock/src/include/mblock/Makefile.am              |   37 -
 mblock/src/include/mblock/class_registry.h         |   51 -
 mblock/src/include/mblock/common.h                 |   94 -
 mblock/src/include/mblock/exception.h              |  118 -
 mblock/src/include/mblock/mblock.h                 |  318 -
 mblock/src/include/mblock/message.h                |   88 -
 mblock/src/include/mblock/msg_accepter.h           |   48 -
 mblock/src/include/mblock/msg_queue.h              |   82 -
 mblock/src/include/mblock/port.h                   |   93 -
 mblock/src/include/mblock/protocol_class.h         |   52 -
 mblock/src/include/mblock/runtime.h                |   69 -
 mblock/src/include/mblock/time.h                   |   27 -
 mblock/src/lib/.gitignore                          |   12 -
 mblock/src/lib/Makefile.am                         |  132 -
 mblock/src/lib/README.locking                      |    4 -
 mblock/src/lib/benchmark_send.cc                   |   47 -
 mblock/src/lib/getres.cc                           |   32 -
 mblock/src/lib/mb_class_registry.cc                |   47 -
 mblock/src/lib/mb_connection.cc                    |  126 -
 mblock/src/lib/mb_connection.h                     |   78 -
 mblock/src/lib/mb_endpoint.cc                      |   51 -
 mblock/src/lib/mb_endpoint.h                       |   58 -
 mblock/src/lib/mb_exception.cc                     |  106 -
 mblock/src/lib/mb_gettid.cc                        |   53 -
 mblock/src/lib/mb_gettid.h                         |   26 -
 mblock/src/lib/mb_mblock.cc                        |  230 -
 mblock/src/lib/mb_mblock_impl.cc                   |  328 -
 mblock/src/lib/mb_mblock_impl.h                    |  226 -
 mblock/src/lib/mb_message.cc                       |   86 -
 mblock/src/lib/mb_msg_accepter.cc                  |   31 -
 mblock/src/lib/mb_msg_accepter_msgq.cc             |   48 -
 mblock/src/lib/mb_msg_accepter_msgq.h              |   39 -
 mblock/src/lib/mb_msg_accepter_smp.cc              |   50 -
 mblock/src/lib/mb_msg_accepter_smp.h               |   42 -
 mblock/src/lib/mb_msg_queue.cc                     |  128 -
 mblock/src/lib/mb_port.cc                          |   69 -
 mblock/src/lib/mb_port_simple.cc                   |  152 -
 mblock/src/lib/mb_port_simple.h                    |   72 -
 mblock/src/lib/mb_protocol_class.cc                |  107 -
 mblock/src/lib/mb_runtime.cc                       |   39 -
 mblock/src/lib/mb_runtime_base.cc                  |   59 -
 mblock/src/lib/mb_runtime_base.h                   |   78 -
 mblock/src/lib/mb_runtime_nop.cc                   |   86 -
 mblock/src/lib/mb_runtime_nop.h                    |   52 -
 mblock/src/lib/mb_runtime_thread_per_block.cc      |  350 -
 mblock/src/lib/mb_runtime_thread_per_block.h       |   84 -
 mblock/src/lib/mb_timer_queue.cc                   |   65 -
 mblock/src/lib/mb_timer_queue.h                    |   73 -
 mblock/src/lib/mb_util.cc                          |   34 -
 mblock/src/lib/mb_util.h                           |   35 -
 mblock/src/lib/mb_worker.cc                        |  180 -
 mblock/src/lib/mb_worker.h                         |  106 -
 mblock/src/lib/mbi_runtime_lock.h                  |   61 -
 mblock/src/lib/qa_bitset.cc                        |  496 -
 mblock/src/lib/qa_bitset.mbh                       |   61 -
 mblock/src/lib/qa_disconnect.cc                    |  241 -
 mblock/src/lib/qa_mblock.cc                        |   44 -
 mblock/src/lib/qa_mblock.h                         |   36 -
 mblock/src/lib/qa_mblock_prims.cc                  |  448 -
 mblock/src/lib/qa_mblock_prims.h                   |   47 -
 mblock/src/lib/qa_mblock_send.cc                   |  477 -
 mblock/src/lib/qa_mblock_send.h                    |   43 -
 mblock/src/lib/qa_mblock_sys.cc                    |  272 -
 mblock/src/lib/qa_mblock_sys.h                     |   45 -
 mblock/src/lib/qa_timeouts.cc                      |  291 -
 mblock/src/lib/qa_timeouts.h                       |   43 -
 mblock/src/lib/test_mblock.cc                      |   37 -
 mblock/src/scheme/.gitignore                       |    8 -
 mblock/src/scheme/Makefile.am                      |   21 -
 mblock/src/scheme/gnuradio/.gitignore              |    8 -
 mblock/src/scheme/gnuradio/Makefile.am             |   23 -
 mblock/src/scheme/gnuradio/compile-mbh.scm         |  231 -
 omnithread/.gitignore                              |    9 -
 omnithread/Makefile.am                             |   71 -
 omnithread/README                                  |    2 -
 omnithread/dir.mk                                  |  229 -
 omnithread/gnuradio-omnithread.pc.in               |   11 -
 omnithread/gnuradio/.gitignore                     |    2 -
 omnithread/gnuradio/Makefile.am                    |   32 -
 omnithread/gnuradio/omni_time.h                    |   89 -
 omnithread/gnuradio/omnithread.h                   |  626 -
 omnithread/gnuradio/ot_VxThread.h                  |  118 -
 omnithread/gnuradio/ot_mach.h                      |   51 -
 omnithread/gnuradio/ot_nt.h                        |   85 -
 omnithread/gnuradio/ot_posix.h                     |   81 -
 omnithread/gnuradio/ot_pthread_nt.h                |  186 -
 omnithread/gnuradio/ot_solaris.h                   |   47 -
 omnithread/mach.cc                                 |  714 -
 omnithread/nt.cc                                   |  969 -
 omnithread/omni_time.cc                            |   84 -
 omnithread/posix.cc                                |  982 -
 omnithread/solaris.cc                              |  615 -
 omnithread/threaddata.cc                           |   83 -
 omnithread/vxWorks.cc                              | 1160 -
 run_tests.sh.in                                    |    5 +-
 usrp/firmware/include/usrp_ids.h                   |    2 +
 usrp/firmware/src/common/build_eeprom.py           |    8 +-
 usrp/fpga/Makefile.am                              |    2 -
 usrp/fpga/Makefile.extra                           |  181 -
 usrp/fpga/README                                   |    3 +
 usrp/fpga/TODO                                     |   23 -
 usrp/fpga/gen_makefile_extra.py                    |   67 -
 usrp/fpga/inband_lib/chan_fifo_reader.v            |  219 -
 usrp/fpga/inband_lib/channel_demux.v               |   78 -
 usrp/fpga/inband_lib/channel_ram.v                 |  107 -
 usrp/fpga/inband_lib/cmd_reader.v                  |  305 -
 usrp/fpga/inband_lib/packet_builder.v              |  152 -
 usrp/fpga/inband_lib/register_io.v                 |   82 -
 usrp/fpga/inband_lib/rx_buffer_inband.v            |  209 -
 usrp/fpga/inband_lib/tx_buffer_inband.v            |  143 -
 usrp/fpga/inband_lib/tx_packer.v                   |  119 -
 usrp/fpga/inband_lib/usb_packet_fifo.v             |  112 -
 usrp/fpga/megacells/.gitignore                     |    1 -
 usrp/fpga/megacells/accum32.bsf                    |   86 -
 usrp/fpga/megacells/accum32.cmp                    |   31 -
 usrp/fpga/megacells/accum32.inc                    |   32 -
 usrp/fpga/megacells/accum32.v                      |  765 -
 usrp/fpga/megacells/accum32_bb.v                   |   35 -
 usrp/fpga/megacells/accum32_inst.v                 |    7 -
 usrp/fpga/megacells/add32.bsf                      |   62 -
 usrp/fpga/megacells/add32.cmp                      |   29 -
 usrp/fpga/megacells/add32.inc                      |   30 -
 usrp/fpga/megacells/add32.v                        |  221 -
 usrp/fpga/megacells/add32_bb.v                     |   31 -
 usrp/fpga/megacells/add32_inst.v                   |    5 -
 usrp/fpga/megacells/addsub16.bsf                   |   96 -
 usrp/fpga/megacells/addsub16.cmp                   |   33 -
 usrp/fpga/megacells/addsub16.inc                   |   34 -
 usrp/fpga/megacells/addsub16.v                     |  438 -
 usrp/fpga/megacells/addsub16_bb.v                  |   39 -
 usrp/fpga/megacells/addsub16_inst.v                |    9 -
 usrp/fpga/megacells/bustri.bsf                     |   62 -
 usrp/fpga/megacells/bustri.cmp                     |   29 -
 usrp/fpga/megacells/bustri.inc                     |   30 -
 usrp/fpga/megacells/bustri.v                       |   71 -
 usrp/fpga/megacells/bustri_bb.v                    |   31 -
 usrp/fpga/megacells/bustri_inst.v                  |    5 -
 usrp/fpga/megacells/clk_doubler.v                  |  198 -
 usrp/fpga/megacells/clk_doubler_bb.v               |  143 -
 usrp/fpga/megacells/dspclkpll.v                    |  237 -
 usrp/fpga/megacells/dspclkpll_bb.v                 |   31 -
 usrp/fpga/megacells/fifo_1kx16.bsf                 |  107 -
 usrp/fpga/megacells/fifo_1kx16.cmp                 |   30 -
 usrp/fpga/megacells/fifo_1kx16.inc                 |   31 -
 usrp/fpga/megacells/fifo_1kx16.v                   |  175 -
 usrp/fpga/megacells/fifo_1kx16_bb.v                |  127 -
 usrp/fpga/megacells/fifo_1kx16_inst.v              |   12 -
 usrp/fpga/megacells/fifo_2k.v                      | 3343 -
 usrp/fpga/megacells/fifo_2k_bb.v                   |  131 -
 usrp/fpga/megacells/fifo_4k.v                      | 3495 -
 usrp/fpga/megacells/fifo_4k_18.v                   |  186 -
 usrp/fpga/megacells/fifo_4k_bb.v                   |  131 -
 usrp/fpga/megacells/fifo_4kx16_dc.bsf              |  117 -
 usrp/fpga/megacells/fifo_4kx16_dc.cmp              |   31 -
 usrp/fpga/megacells/fifo_4kx16_dc.inc              |   32 -
 usrp/fpga/megacells/fifo_4kx16_dc.v                |  178 -
 usrp/fpga/megacells/fifo_4kx16_dc_bb.v             |  130 -
 usrp/fpga/megacells/fifo_4kx16_dc_inst.v           |   13 -
 usrp/fpga/megacells/mylpm_addsub.bsf               |   80 -
 usrp/fpga/megacells/mylpm_addsub.cmp               |   31 -
 usrp/fpga/megacells/mylpm_addsub.inc               |   32 -
 usrp/fpga/megacells/mylpm_addsub.v                 |  102 -
 usrp/fpga/megacells/mylpm_addsub_bb.v              |   35 -
 usrp/fpga/megacells/mylpm_addsub_inst.v            |    7 -
 usrp/fpga/megacells/pll.v                          |  207 -
 usrp/fpga/megacells/pll_bb.v                       |   29 -
 usrp/fpga/megacells/pll_inst.v                     |    4 -
 usrp/fpga/megacells/sub32.bsf                      |   87 -
 usrp/fpga/megacells/sub32.cmp                      |   32 -
 usrp/fpga/megacells/sub32.inc                      |   33 -
 usrp/fpga/megacells/sub32.v                        |  675 -
 usrp/fpga/megacells/sub32_bb.v                     |   37 -
 usrp/fpga/megacells/sub32_inst.v                   |    8 -
 usrp/fpga/models/bustri.v                          |   17 -
 usrp/fpga/models/fifo.v                            |   82 -
 usrp/fpga/models/fifo_1c_1k.v                      |   81 -
 usrp/fpga/models/fifo_1c_2k.v                      |   81 -
 usrp/fpga/models/fifo_1c_4k.v                      |   76 -
 usrp/fpga/models/fifo_1k.v                         |   24 -
 usrp/fpga/models/fifo_2k.v                         |   24 -
 usrp/fpga/models/fifo_4k.v                         |   24 -
 usrp/fpga/models/fifo_4k_18.v                      |   26 -
 usrp/fpga/models/pll.v                             |   33 -
 usrp/fpga/models/ssram.v                           |   38 -
 usrp/fpga/sdr_lib/.gitignore                       |    2 -
 usrp/fpga/sdr_lib/adc_interface.v                  |   71 -
 usrp/fpga/sdr_lib/atr_delay.v                      |   83 -
 usrp/fpga/sdr_lib/bidir_reg.v                      |   29 -
 usrp/fpga/sdr_lib/cic_dec_shifter.v                |  100 -
 usrp/fpga/sdr_lib/cic_decim.v                      |   93 -
 usrp/fpga/sdr_lib/cic_int_shifter.v                |   94 -
 usrp/fpga/sdr_lib/cic_interp.v                     |   90 -
 usrp/fpga/sdr_lib/clk_divider.v                    |   43 -
 usrp/fpga/sdr_lib/cordic.v                         |  109 -
 usrp/fpga/sdr_lib/cordic_stage.v                   |   60 -
 usrp/fpga/sdr_lib/ddc.v                            |   97 -
 usrp/fpga/sdr_lib/dpram.v                          |   47 -
 usrp/fpga/sdr_lib/duc.v                            |   95 -
 usrp/fpga/sdr_lib/ext_fifo.v                       |  126 -
 usrp/fpga/sdr_lib/gen_cordic_consts.py             |   10 -
 usrp/fpga/sdr_lib/gen_sync.v                       |   43 -
 usrp/fpga/sdr_lib/hb/acc.v                         |   22 -
 usrp/fpga/sdr_lib/hb/coeff_rom.v                   |   19 -
 usrp/fpga/sdr_lib/hb/halfband_decim.v              |  163 -
 usrp/fpga/sdr_lib/hb/halfband_interp.v             |  121 -
 usrp/fpga/sdr_lib/hb/hbd_tb/HBD                    |   80 -
 usrp/fpga/sdr_lib/hb/hbd_tb/really_golden          |  142 -
 usrp/fpga/sdr_lib/hb/hbd_tb/regression             |   95 -
 usrp/fpga/sdr_lib/hb/hbd_tb/run_hbd                |    4 -
 usrp/fpga/sdr_lib/hb/hbd_tb/test_hbd.v             |   75 -
 usrp/fpga/sdr_lib/hb/mac.v                         |   58 -
 usrp/fpga/sdr_lib/hb/mult.v                        |   16 -
 usrp/fpga/sdr_lib/hb/ram16_2port.v                 |   22 -
 usrp/fpga/sdr_lib/hb/ram16_2sum.v                  |   27 -
 usrp/fpga/sdr_lib/hb/ram32_2sum.v                  |   22 -
 usrp/fpga/sdr_lib/io_pins.v                        |   52 -
 usrp/fpga/sdr_lib/master_control.v                 |  163 -
 usrp/fpga/sdr_lib/master_control_multi.v           |   73 -
 usrp/fpga/sdr_lib/phase_acc.v                      |   52 -
 usrp/fpga/sdr_lib/ram.v                            |   16 -
 usrp/fpga/sdr_lib/ram16.v                          |   17 -
 usrp/fpga/sdr_lib/ram32.v                          |   17 -
 usrp/fpga/sdr_lib/ram64.v                          |   16 -
 usrp/fpga/sdr_lib/rssi.v                           |   30 -
 usrp/fpga/sdr_lib/rx_buffer.v                      |  237 -
 usrp/fpga/sdr_lib/rx_chain.v                       |  106 -
 usrp/fpga/sdr_lib/rx_chain_dual.v                  |  103 -
 usrp/fpga/sdr_lib/rx_dcoffset.v                    |   22 -
 usrp/fpga/sdr_lib/serial_io.v                      |  118 -
 usrp/fpga/sdr_lib/setting_reg.v                    |   23 -
 usrp/fpga/sdr_lib/setting_reg_masked.v             |   26 -
 usrp/fpga/sdr_lib/sign_extend.v                    |   35 -
 usrp/fpga/sdr_lib/strobe_gen.v                     |   46 -
 usrp/fpga/sdr_lib/tx_buffer.v                      |  170 -
 usrp/fpga/sdr_lib/tx_chain.v                       |   65 -
 usrp/fpga/sdr_lib/tx_chain_hb.v                    |   76 -
 usrp/fpga/tb/.gitignore                            |    3 -
 usrp/fpga/tb/cbus_tb.v                             |   71 -
 usrp/fpga/tb/cordic_tb.v                           |   61 -
 usrp/fpga/tb/decim_tb.v                            |  108 -
 usrp/fpga/tb/fullchip_tb.v                         |  174 -
 usrp/fpga/tb/interp_tb.v                           |  108 -
 usrp/fpga/tb/justinterp_tb.v                       |   73 -
 usrp/fpga/tb/makesine.pl                           |   14 -
 usrp/fpga/tb/run_cordic                            |    4 -
 usrp/fpga/tb/run_fullchip                          |    4 -
 usrp/fpga/tb/usrp_tasks.v                          |  145 -
 .../toplevel/include/common_config_1rxhb_1tx.vh    |   61 -
 .../fpga/toplevel/include/common_config_2rx_0tx.vh |   61 -
 .../toplevel/include/common_config_2rxhb_0tx.vh    |   61 -
 .../toplevel/include/common_config_2rxhb_2tx.vh    |   61 -
 .../fpga/toplevel/include/common_config_4rx_0tx.vh |   61 -
 usrp/fpga/toplevel/include/common_config_bottom.vh |  104 -
 usrp/fpga/toplevel/mrfm/.gitignore                 |   17 -
 usrp/fpga/toplevel/mrfm/biquad_2stage.v            |  131 -
 usrp/fpga/toplevel/mrfm/biquad_6stage.v            |  137 -
 usrp/fpga/toplevel/mrfm/mrfm.csf                   |  444 -
 usrp/fpga/toplevel/mrfm/mrfm.esf                   |   14 -
 usrp/fpga/toplevel/mrfm/mrfm.psf                   |  312 -
 usrp/fpga/toplevel/mrfm/mrfm.py                    |  129 -
 usrp/fpga/toplevel/mrfm/mrfm.qpf                   |   29 -
 usrp/fpga/toplevel/mrfm/mrfm.qsf                   |  411 -
 usrp/fpga/toplevel/mrfm/mrfm.v                     |  199 -
 usrp/fpga/toplevel/mrfm/mrfm.vh                    |   21 -
 usrp/fpga/toplevel/mrfm/mrfm_compensator.v         |   80 -
 usrp/fpga/toplevel/mrfm/mrfm_fft.py                |  319 -
 usrp/fpga/toplevel/mrfm/mrfm_proc.v                |   96 -
 usrp/fpga/toplevel/mrfm/shifter.v                  |  106 -
 usrp/fpga/toplevel/sizetest/.gitignore             |   15 -
 usrp/fpga/toplevel/sizetest/sizetest.csf           |  160 -
 usrp/fpga/toplevel/sizetest/sizetest.psf           |  228 -
 usrp/fpga/toplevel/sizetest/sizetest.quartus       |   19 -
 usrp/fpga/toplevel/sizetest/sizetest.ssf           |   14 -
 usrp/fpga/toplevel/sizetest/sizetest.v             |   39 -
 usrp/fpga/toplevel/usrp_inband_usb/.gitignore      |   16 -
 usrp/fpga/toplevel/usrp_inband_usb/config.vh       |   53 -
 .../toplevel/usrp_inband_usb/usrp_inband_usb.csf   |  444 -
 .../toplevel/usrp_inband_usb/usrp_inband_usb.esf   |   14 -
 .../toplevel/usrp_inband_usb/usrp_inband_usb.psf   |  312 -
 .../toplevel/usrp_inband_usb/usrp_inband_usb.qpf   |   29 -
 .../toplevel/usrp_inband_usb/usrp_inband_usb.qsf   |  423 -
 .../toplevel/usrp_inband_usb/usrp_inband_usb.v     |  428 -
 usrp/fpga/toplevel/usrp_multi/.gitignore           |   16 -
 usrp/fpga/toplevel/usrp_multi/config.vh            |   62 -
 usrp/fpga/toplevel/usrp_multi/usrp_multi.csf       |  444 -
 usrp/fpga/toplevel/usrp_multi/usrp_multi.esf       |   14 -
 usrp/fpga/toplevel/usrp_multi/usrp_multi.psf       |  312 -
 usrp/fpga/toplevel/usrp_multi/usrp_multi.qpf       |   29 -
 usrp/fpga/toplevel/usrp_multi/usrp_multi.qsf       |  408 -
 usrp/fpga/toplevel/usrp_multi/usrp_multi.v         |  379 -
 usrp/fpga/toplevel/usrp_std/.gitignore             |   17 -
 usrp/fpga/toplevel/usrp_std/config.vh              |   53 -
 usrp/fpga/toplevel/usrp_std/usrp_std.csf           |  444 -
 usrp/fpga/toplevel/usrp_std/usrp_std.esf           |   14 -
 usrp/fpga/toplevel/usrp_std/usrp_std.psf           |  312 -
 usrp/fpga/toplevel/usrp_std/usrp_std.qpf           |   29 -
 usrp/fpga/toplevel/usrp_std/usrp_std.qsf           |  409 -
 usrp/fpga/toplevel/usrp_std/usrp_std.v             |  333 -
 usrp/host/apps/burn-db-eeprom                      |    1 +
 usrp/host/include/usrp/Makefile.am                 |    1 +
 usrp/host/include/usrp/db_bitshark_rx.h            |   56 +
 usrp/host/lib/Makefile.am                          |   10 +-
 usrp/host/lib/circular_buffer.h                    |   69 +-
 usrp/host/lib/circular_linked_list.h               |   34 +-
 usrp/host/lib/db_bitshark_rx.cc                    |  417 +
 usrp/host/lib/db_boards.cc                         |    5 +
 usrp/host/lib/db_wbxng.cc                          |    4 +-
 usrp/host/lib/fusb_darwin.cc                       |   97 +-
 usrp/host/lib/fusb_darwin.h                        |   13 +-
 usrp/host/lib/mld_threads.h                        |  275 -
 usrp/host/lib/usrp_dbid.dat                        |    2 +
 usrp/limbo/apps-inband/.gitignore                  |   35 -
 usrp/limbo/apps-inband/Makefile.am                 |   77 -
 usrp/limbo/apps-inband/read_packets.cc             |  109 -
 usrp/limbo/apps-inband/test_usrp_inband_2rx.cc     |  371 -
 usrp/limbo/apps-inband/test_usrp_inband_2tx.cc     |  430 -
 usrp/limbo/apps-inband/test_usrp_inband_overrun.cc |  375 -
 usrp/limbo/apps-inband/test_usrp_inband_ping.cc    |  374 -
 .../apps-inband/test_usrp_inband_registers.cc      |  435 -
 usrp/limbo/apps-inband/test_usrp_inband_rx.cc      |  362 -
 .../apps-inband/test_usrp_inband_timestamps.cc     |  506 -
 usrp/limbo/apps-inband/test_usrp_inband_tx.cc      |  411 -
 .../limbo/apps-inband/test_usrp_inband_underrun.cc |  674 -
 usrp/limbo/apps-inband/ui_nco.h                    |  202 -
 usrp/limbo/apps-inband/ui_sincos.c                 |   81 -
 usrp/limbo/apps-inband/ui_sincos.h                 |   39 -
 usrp/limbo/inband/.gitignore                       |   20 -
 usrp/limbo/inband/Makefile.am                      |  114 -
 usrp/limbo/inband/dump_packets.py                  |   65 -
 usrp/limbo/inband/gen_test_packets.py              |   88 -
 usrp/limbo/inband/qa_inband.cc                     |   35 -
 usrp/limbo/inband/qa_inband.h                      |   35 -
 usrp/limbo/inband/qa_inband_packet_prims.cc        |  162 -
 usrp/limbo/inband/qa_inband_packet_prims.h         |   41 -
 usrp/limbo/inband/qa_inband_usrp_server.cc         | 1575 -
 usrp/limbo/inband/qa_inband_usrp_server.h          |   50 -
 usrp/limbo/inband/symbols_usrp_channel.h           |   40 -
 usrp/limbo/inband/symbols_usrp_interface_cs.h      |   43 -
 usrp/limbo/inband/symbols_usrp_low_level_cs.h      |   47 -
 usrp/limbo/inband/symbols_usrp_rx.h                |   36 -
 usrp/limbo/inband/symbols_usrp_rx_cs.h             |   32 -
 usrp/limbo/inband/symbols_usrp_server_cs.h         |   47 -
 usrp/limbo/inband/symbols_usrp_tx.h                |   32 -
 usrp/limbo/inband/symbols_usrp_tx_cs.h             |   32 -
 usrp/limbo/inband/test_inband.cc                   |   36 -
 usrp/limbo/inband/usb_packet.py                    |  115 -
 usrp/limbo/inband/usrp_inband_usb_packet.cc        |  793 -
 usrp/limbo/inband/usrp_inband_usb_packet.h         |  240 -
 usrp/limbo/inband/usrp_interface.mbh               |   88 -
 usrp/limbo/inband/usrp_rx.cc                       |  184 -
 usrp/limbo/inband/usrp_rx.h                        |   58 -
 usrp/limbo/inband/usrp_rx_stub.cc                  |  227 -
 usrp/limbo/inband/usrp_rx_stub.h                   |   79 -
 usrp/limbo/inband/usrp_server.cc                   | 1861 -
 usrp/limbo/inband/usrp_server.h                    |  131 -
 usrp/limbo/inband/usrp_server.mbh                  |  255 -
 usrp/limbo/inband/usrp_tx.cc                       |  150 -
 usrp/limbo/inband/usrp_tx.h                        |   52 -
 usrp/limbo/inband/usrp_tx_stub.cc                  |  344 -
 usrp/limbo/inband/usrp_tx_stub.h                   |   61 -
 usrp/limbo/inband/usrp_usb_interface.cc            |  601 -
 usrp/limbo/inband/usrp_usb_interface.h             |   78 -
 usrp/usrp.pc.in                                    |    2 +-
 usrp2/firmware/apps/.gitignore                     |    1 +
 usrp2/firmware/apps/Makefile.am                    |    5 +
 usrp2/firmware/apps/app_common_v2.c                |    8 +
 usrp2/firmware/include/usrp2_eth_packet.h          |    6 +-
 usrp2/firmware/include/usrp2_types.h               |    6 +
 usrp2/firmware/lib/Makefile.am                     |   76 +-
 usrp2/firmware/lib/adf4350.c                       |    4 +-
 usrp2/firmware/lib/db.h                            |    5 +-
 usrp2/firmware/lib/db_base.h                       |    1 +
 usrp2/firmware/lib/db_basic.c                      |    4 +
 usrp2/firmware/lib/db_bitshark_rx.c                |  337 +
 usrp2/firmware/lib/db_bitshark_rx.h                |   46 +
 usrp2/firmware/lib/db_dbsrx.c                      |    1 +
 usrp2/firmware/lib/db_init.c                       |   14 +-
 usrp2/firmware/lib/db_init_wbx.c                   |    7 +
 usrp2/firmware/lib/db_init_xcvr.c                  |  404 +
 usrp2/firmware/lib/db_rfx.c                        |   10 +
 usrp2/firmware/lib/db_tvrx.c                       |    3 +
 usrp2/firmware/lib/db_wbxng.c                      |   16 +-
 usrp2/firmware/lib/db_xcvr2450.c                   |   25 +
 usrp2/fpga/.gitignore                              |    2 -
 usrp2/fpga/README                                  |    3 +
 usrp2/fpga/boot_cpld/.gitignore                    |   38 -
 usrp2/fpga/boot_cpld/_impact.cmd                   |   34 -
 usrp2/fpga/boot_cpld/boot_cpld.ipf                 |  Bin 2967 -> 0 bytes
 usrp2/fpga/boot_cpld/boot_cpld.ise                 |  Bin 227573 -> 0 bytes
 usrp2/fpga/boot_cpld/boot_cpld.lfp                 |    5 -
 usrp2/fpga/boot_cpld/boot_cpld.ucf                 |   34 -
 usrp2/fpga/boot_cpld/boot_cpld.v                   |   95 -
 usrp2/fpga/control_lib/.gitignore                  |    5 -
 usrp2/fpga/control_lib/CRC16_D16.v                 |   89 -
 usrp2/fpga/control_lib/SYSCTRL.sav                 |   24 -
 usrp2/fpga/control_lib/WB_SIM.sav                  |   47 -
 usrp2/fpga/control_lib/atr_controller.v            |   57 -
 usrp2/fpga/control_lib/bin2gray.v                  |   10 -
 usrp2/fpga/control_lib/bootrom.mem                 |   26 -
 usrp2/fpga/control_lib/clock_bootstrap_rom.v       |   34 -
 usrp2/fpga/control_lib/clock_control.v             |  115 -
 usrp2/fpga/control_lib/clock_control_tb.sav        |   28 -
 usrp2/fpga/control_lib/clock_control_tb.v          |   35 -
 usrp2/fpga/control_lib/cmdfile                     |   18 -
 usrp2/fpga/control_lib/dcache.v                    |  165 -
 usrp2/fpga/control_lib/decoder_3_8.v               |   21 -
 usrp2/fpga/control_lib/dpram32.v                   |   82 -
 usrp2/fpga/control_lib/fifo_tb.v                   |  151 -
 usrp2/fpga/control_lib/gray2bin.v                  |   13 -
 usrp2/fpga/control_lib/gray_send.v                 |   29 -
 usrp2/fpga/control_lib/icache.v                    |  135 -
 usrp2/fpga/control_lib/longfifo.v                  |  150 -
 usrp2/fpga/control_lib/medfifo.v                   |   49 -
 usrp2/fpga/control_lib/mux4.v                      |   16 -
 usrp2/fpga/control_lib/mux8.v                      |   21 -
 usrp2/fpga/control_lib/mux_32_4.v                  |   13 -
 usrp2/fpga/control_lib/newfifo/.gitignore          |    1 -
 usrp2/fpga/control_lib/newfifo/buffer_int.v        |  168 -
 usrp2/fpga/control_lib/newfifo/buffer_int_tb.v     |  418 -
 usrp2/fpga/control_lib/newfifo/buffer_pool.v       |  283 -
 usrp2/fpga/control_lib/newfifo/buffer_pool_tb.v    |   58 -
 usrp2/fpga/control_lib/newfifo/fifo19_to_fifo36.v  |   71 -
 usrp2/fpga/control_lib/newfifo/fifo19_to_ll8.v     |   53 -
 usrp2/fpga/control_lib/newfifo/fifo36_to_fifo18.v  |   40 -
 usrp2/fpga/control_lib/newfifo/fifo36_to_fifo19.v  |   41 -
 usrp2/fpga/control_lib/newfifo/fifo36_to_ll8.v     |   60 -
 usrp2/fpga/control_lib/newfifo/fifo_2clock.v       |  117 -
 .../fpga/control_lib/newfifo/fifo_2clock_cascade.v |   35 -
 usrp2/fpga/control_lib/newfifo/fifo_cascade.v      |   52 -
 usrp2/fpga/control_lib/newfifo/fifo_long.v         |  148 -
 usrp2/fpga/control_lib/newfifo/fifo_new_tb.vcd     | 5506 -
 usrp2/fpga/control_lib/newfifo/fifo_short.v        |   95 -
 usrp2/fpga/control_lib/newfifo/fifo_spec.txt       |   36 -
 usrp2/fpga/control_lib/newfifo/fifo_tb.v           |  158 -
 usrp2/fpga/control_lib/newfifo/ll8_shortfifo.v     |   13 -
 usrp2/fpga/control_lib/newfifo/ll8_to_fifo19.v     |   77 -
 usrp2/fpga/control_lib/newfifo/ll8_to_fifo36.v     |   97 -
 usrp2/fpga/control_lib/nsgpio.v                    |  107 -
 usrp2/fpga/control_lib/oneshot_2clk.v              |   35 -
 usrp2/fpga/control_lib/pic.v                       |  183 -
 usrp2/fpga/control_lib/priority_enc.v              |   44 -
 usrp2/fpga/control_lib/ram_2port.v                 |   42 -
 usrp2/fpga/control_lib/ram_harv_cache.v            |   75 -
 usrp2/fpga/control_lib/ram_loader.v                |  225 -
 usrp2/fpga/control_lib/ram_wb_harvard.v            |   86 -
 usrp2/fpga/control_lib/reset_sync.v                |   16 -
 usrp2/fpga/control_lib/sd_spi.v                    |   70 -
 usrp2/fpga/control_lib/sd_spi_tb.v                 |   40 -
 usrp2/fpga/control_lib/sd_spi_wb.v                 |   76 -
 usrp2/fpga/control_lib/setting_reg.v               |   23 -
 usrp2/fpga/control_lib/settings_bus.v              |   49 -
 usrp2/fpga/control_lib/shortfifo.v                 |   87 -
 usrp2/fpga/control_lib/simple_uart.v               |   61 -
 usrp2/fpga/control_lib/simple_uart_rx.v            |   64 -
 usrp2/fpga/control_lib/simple_uart_tx.v            |   60 -
 usrp2/fpga/control_lib/spi.v                       |   84 -
 usrp2/fpga/control_lib/srl.v                       |   21 -
 usrp2/fpga/control_lib/ss_rcvr.v                   |   81 -
 usrp2/fpga/control_lib/system_control.v            |   47 -
 usrp2/fpga/control_lib/system_control_tb.v         |   57 -
 usrp2/fpga/control_lib/traffic_cop.v               |   25 -
 usrp2/fpga/control_lib/wb_1master.v                |  464 -
 usrp2/fpga/control_lib/wb_bridge_16_32.v           |   36 -
 usrp2/fpga/control_lib/wb_bus_writer.v             |   57 -
 usrp2/fpga/control_lib/wb_output_pins32.v          |   49 -
 usrp2/fpga/control_lib/wb_ram_block.v              |   36 -
 usrp2/fpga/control_lib/wb_ram_dist.v               |   33 -
 usrp2/fpga/control_lib/wb_readback_mux.v           |   60 -
 usrp2/fpga/control_lib/wb_regfile_2clock.v         |  107 -
 usrp2/fpga/control_lib/wb_semaphore.v              |   42 -
 usrp2/fpga/control_lib/wb_sim.v                    |   79 -
 usrp2/fpga/coregen/.gitignore                      |    3 -
 usrp2/fpga/coregen/coregen.cgp                     |   20 -
 .../fpga/coregen/fifo_generator_release_notes.txt  |  160 -
 usrp2/fpga/coregen/fifo_generator_ug175.pdf        |  Bin 1069823 -> 0 bytes
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.ngc        |    3 -
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.v          |  169 -
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.veo        |   53 -
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.xco        |   82 -
 ...o_xlnx_16x19_2clk_fifo_generator_v4_3_xst_1.lso |    3 -
 ...x19_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt |  103 -
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_flist.txt  |    8 -
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_readme.txt |   39 -
 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_xmdf.tcl   |   68 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.asy        |   49 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.ngc        |    3 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.sym        |   74 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.v          |  173 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.veo        |   53 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.vhd        |  156 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.vho        |   76 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.xco        |   82 -
 ...o_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.lso |    3 -
 ...x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt |  106 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_flist.txt  |   12 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_readme.txt |   55 -
 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_xmdf.tcl   |   84 -
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.asy       |   49 -
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.ngc       |    3 -
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.sym       |   74 -
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.v         |  169 -
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.veo       |   53 -
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.vhd       |  156 -
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.vho       |   76 -
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.xco       |   82 -
 ..._xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.lso |    3 -
 ...x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt |  109 -
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_flist.txt |    8 -
 .../fpga/coregen/fifo_xlnx_512x36_2clk_readme.txt  |   39 -
 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_xmdf.tcl  |   68 -
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.ngc        |    3 -
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.v          |  169 -
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.veo        |   53 -
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.xco        |   82 -
 ...o_xlnx_64x36_2clk_fifo_generator_v4_3_xst_1.lso |    3 -
 ...x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt |  104 -
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_flist.txt  |    8 -
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_readme.txt |   39 -
 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_xmdf.tcl   |   68 -
 usrp2/fpga/extram/.gitignore                       |    1 -
 usrp2/fpga/extram/extram_interface.v               |   53 -
 usrp2/fpga/extram/extram_wb.v                      |  146 -
 usrp2/fpga/extram/wb_zbt16_b.v                     |   63 -
 usrp2/fpga/models/BUFG.v                           |   33 -
 usrp2/fpga/models/CY7C1356C/cy1356.inp             |  140 -
 usrp2/fpga/models/CY7C1356C/cy1356.v               |  485 -
 usrp2/fpga/models/CY7C1356C/readme.txt             |   33 -
 usrp2/fpga/models/CY7C1356C/testbench.v            |  189 -
 usrp2/fpga/models/FIFO_GENERATOR_V4_3.v            | 3494 -
 usrp2/fpga/models/M24LC024B.v                      |  459 -
 usrp2/fpga/models/M24LC02B.v                       |  455 -
 usrp2/fpga/models/MULT18X18S.v                     |   20 -
 usrp2/fpga/models/RAMB16_S36_S36.v                 | 2194 -
 usrp2/fpga/models/SRL16E.v                         |   53 -
 usrp2/fpga/models/SRLC16E.v                        |   61 -
 usrp2/fpga/models/adc_model.v                      |   48 -
 usrp2/fpga/models/cpld_model.v                     |   96 -
 usrp2/fpga/models/math_real.v                      |  495 -
 usrp2/fpga/models/miim_model.v                     |   14 -
 usrp2/fpga/models/phy_sim.v                        |  113 -
 usrp2/fpga/models/serdes_model.v                   |   34 -
 usrp2/fpga/models/uart_rx.v                        |   48 -
 usrp2/fpga/models/xlnx_glbl.v                      |   29 -
 usrp2/fpga/opencores/8b10b/.gitignore              |    2 -
 usrp2/fpga/opencores/8b10b/8b10b_a.mem             |  268 -
 usrp2/fpga/opencores/8b10b/README                  |    4 -
 usrp2/fpga/opencores/8b10b/decode_8b10b.v          |  165 -
 usrp2/fpga/opencores/8b10b/encode_8b10b.v          |  120 -
 usrp2/fpga/opencores/8b10b/validate_8b10b.v        |  168 -
 usrp2/fpga/opencores/README                        |   11 -
 usrp2/fpga/opencores/aemb/CVS/.gitignore           |    1 -
 usrp2/fpga/opencores/aemb/CVS/Entries              |    4 -
 usrp2/fpga/opencores/aemb/CVS/Repository           |    1 -
 usrp2/fpga/opencores/aemb/CVS/Root                 |    1 -
 usrp2/fpga/opencores/aemb/doc/CVS/Entries          |    2 -
 usrp2/fpga/opencores/aemb/doc/CVS/Repository       |    1 -
 usrp2/fpga/opencores/aemb/doc/CVS/Root             |    1 -
 usrp2/fpga/opencores/aemb/doc/aeMB_datasheet.pdf   |  Bin 119495 -> 0 bytes
 usrp2/fpga/opencores/aemb/rtl/CVS/Entries          |    1 -
 usrp2/fpga/opencores/aemb/rtl/CVS/Repository       |    1 -
 usrp2/fpga/opencores/aemb/rtl/CVS/Root             |    1 -
 usrp2/fpga/opencores/aemb/rtl/verilog/.gitignore   |    1 -
 usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Entries  |   38 -
 .../fpga/opencores/aemb/rtl/verilog/CVS/Repository |    1 -
 usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Root     |    1 -
 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_bpcu.v  |  184 -
 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_core.v  |  137 -
 .../fpga/opencores/aemb/rtl/verilog/aeMB_core_BE.v |   62 -
 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_ctrl.v  |  336 -
 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_edk32.v |  289 -
 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_ibuf.v  |  192 -
 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_regf.v  |  241 -
 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_sim.v   |  312 -
 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_xecu.v  |  412 -
 usrp2/fpga/opencores/aemb/sim/.gitignore           |    4 -
 usrp2/fpga/opencores/aemb/sim/CODE_DEBUG.sav       |   16 -
 usrp2/fpga/opencores/aemb/sim/CVS/Entries          |    3 -
 usrp2/fpga/opencores/aemb/sim/CVS/Repository       |    1 -
 usrp2/fpga/opencores/aemb/sim/CVS/Root             |    1 -
 usrp2/fpga/opencores/aemb/sim/cversim              |   22 -
 usrp2/fpga/opencores/aemb/sim/iversim              |   21 -
 usrp2/fpga/opencores/aemb/sim/verilog/CVS/Entries  |    3 -
 .../fpga/opencores/aemb/sim/verilog/CVS/Repository |    1 -
 usrp2/fpga/opencores/aemb/sim/verilog/CVS/Root     |    1 -
 usrp2/fpga/opencores/aemb/sim/verilog/aemb2.v      |  242 -
 usrp2/fpga/opencores/aemb/sim/verilog/edk32.v      |  288 -
 usrp2/fpga/opencores/aemb/sw/CVS/Entries           |    2 -
 usrp2/fpga/opencores/aemb/sw/CVS/Repository        |    1 -
 usrp2/fpga/opencores/aemb/sw/CVS/Root              |    1 -
 usrp2/fpga/opencores/aemb/sw/c/CVS/Entries         |    3 -
 usrp2/fpga/opencores/aemb/sw/c/CVS/Repository      |    1 -
 usrp2/fpga/opencores/aemb/sw/c/CVS/Root            |    1 -
 usrp2/fpga/opencores/aemb/sw/c/aeMB_testbench.c    |  385 -
 usrp2/fpga/opencores/aemb/sw/c/endian-test.c       |   86 -
 usrp2/fpga/opencores/aemb/sw/c/libaemb.h           |  218 -
 usrp2/fpga/opencores/aemb/sw/gccrom                |   62 -
 usrp2/fpga/opencores/i2c/CVS/Entries               |    8 -
 usrp2/fpga/opencores/i2c/CVS/Repository            |    1 -
 usrp2/fpga/opencores/i2c/CVS/Root                  |    1 -
 usrp2/fpga/opencores/i2c/bench/CVS/Entries         |    1 -
 usrp2/fpga/opencores/i2c/bench/CVS/Repository      |    1 -
 usrp2/fpga/opencores/i2c/bench/CVS/Root            |    1 -
 usrp2/fpga/opencores/i2c/bench/verilog/CVS/Entries |    5 -
 .../opencores/i2c/bench/verilog/CVS/Repository     |    1 -
 usrp2/fpga/opencores/i2c/bench/verilog/CVS/Root    |    1 -
 .../opencores/i2c/bench/verilog/i2c_slave_model.v  |  360 -
 .../opencores/i2c/bench/verilog/spi_slave_model.v  |  128 -
 .../opencores/i2c/bench/verilog/tst_bench_top.v    |  467 -
 .../opencores/i2c/bench/verilog/wb_master_model.v  |  205 -
 usrp2/fpga/opencores/i2c/doc/CVS/Entries           |    2 -
 usrp2/fpga/opencores/i2c/doc/CVS/Repository        |    1 -
 usrp2/fpga/opencores/i2c/doc/CVS/Root              |    1 -
 usrp2/fpga/opencores/i2c/doc/i2c_specs.pdf         |  Bin 211471 -> 0 bytes
 usrp2/fpga/opencores/i2c/doc/src/CVS/Entries       |    2 -
 usrp2/fpga/opencores/i2c/doc/src/CVS/Repository    |    1 -
 usrp2/fpga/opencores/i2c/doc/src/CVS/Root          |    1 -
 usrp2/fpga/opencores/i2c/doc/src/I2C_specs.doc     |  Bin 464896 -> 0 bytes
 usrp2/fpga/opencores/i2c/documentation/CVS/Entries |    1 -
 .../opencores/i2c/documentation/CVS/Repository     |    1 -
 usrp2/fpga/opencores/i2c/documentation/CVS/Root    |    1 -
 usrp2/fpga/opencores/i2c/rtl/CVS/Entries           |    2 -
 usrp2/fpga/opencores/i2c/rtl/CVS/Repository        |    1 -
 usrp2/fpga/opencores/i2c/rtl/CVS/Root              |    1 -
 usrp2/fpga/opencores/i2c/rtl/verilog/CVS/Entries   |    6 -
 .../fpga/opencores/i2c/rtl/verilog/CVS/Repository  |    1 -
 usrp2/fpga/opencores/i2c/rtl/verilog/CVS/Root      |    1 -
 .../i2c/rtl/verilog/i2c_master_bit_ctrl.v          |  538 -
 .../i2c/rtl/verilog/i2c_master_byte_ctrl.v         |  344 -
 .../opencores/i2c/rtl/verilog/i2c_master_defines.v |   64 -
 .../opencores/i2c/rtl/verilog/i2c_master_top.v     |  301 -
 usrp2/fpga/opencores/i2c/rtl/verilog/timescale.v   |    2 -
 usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Entries      |    7 -
 usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Repository   |    1 -
 usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Root         |    1 -
 usrp2/fpga/opencores/i2c/rtl/vhdl/I2C.VHD          |  620 -
 .../opencores/i2c/rtl/vhdl/i2c_master_bit_ctrl.vhd |  495 -
 .../i2c/rtl/vhdl/i2c_master_byte_ctrl.vhd          |  370 -
 .../fpga/opencores/i2c/rtl/vhdl/i2c_master_top.vhd |  359 -
 usrp2/fpga/opencores/i2c/rtl/vhdl/readme           |   25 -
 usrp2/fpga/opencores/i2c/rtl/vhdl/tst_ds1621.vhd   |  283 -
 usrp2/fpga/opencores/i2c/sim/CVS/Entries           |    1 -
 usrp2/fpga/opencores/i2c/sim/CVS/Repository        |    1 -
 usrp2/fpga/opencores/i2c/sim/CVS/Root              |    1 -
 .../fpga/opencores/i2c/sim/i2c_verilog/CVS/Entries |    1 -
 .../opencores/i2c/sim/i2c_verilog/CVS/Repository   |    1 -
 usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Root  |    1 -
 .../opencores/i2c/sim/i2c_verilog/run/CVS/Entries  |    6 -
 .../i2c/sim/i2c_verilog/run/CVS/Repository         |    1 -
 .../opencores/i2c/sim/i2c_verilog/run/CVS/Root     |    1 -
 .../i2c/sim/i2c_verilog/run/INCA_libs/CVS/Entries  |    1 -
 .../sim/i2c_verilog/run/INCA_libs/CVS/Repository   |    1 -
 .../i2c/sim/i2c_verilog/run/INCA_libs/CVS/Root     |    1 -
 .../opencores/i2c/sim/i2c_verilog/run/bench.vcd    |1496812 
--------------------
 .../i2c/sim/i2c_verilog/run/ncverilog.key          |    1 -
 .../i2c/sim/i2c_verilog/run/ncverilog.log          |  118 -
 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/run   |   25 -
 .../i2c/sim/i2c_verilog/run/waves/CVS/Entries      |    1 -
 .../i2c/sim/i2c_verilog/run/waves/CVS/Repository   |    1 -
 .../i2c/sim/i2c_verilog/run/waves/CVS/Root         |    1 -
 usrp2/fpga/opencores/i2c/software/CVS/Entries      |    2 -
 usrp2/fpga/opencores/i2c/software/CVS/Repository   |    1 -
 usrp2/fpga/opencores/i2c/software/CVS/Root         |    1 -
 .../opencores/i2c/software/drivers/CVS/Entries     |    1 -
 .../opencores/i2c/software/drivers/CVS/Repository  |    1 -
 usrp2/fpga/opencores/i2c/software/drivers/CVS/Root |    1 -
 .../opencores/i2c/software/include/CVS/Entries     |    2 -
 .../opencores/i2c/software/include/CVS/Repository  |    1 -
 usrp2/fpga/opencores/i2c/software/include/CVS/Root |    1 -
 .../opencores/i2c/software/include/oc_i2c_master.h |  102 -
 usrp2/fpga/opencores/i2c/verilog/CVS/Entries       |    1 -
 usrp2/fpga/opencores/i2c/verilog/CVS/Repository    |    1 -
 usrp2/fpga/opencores/i2c/verilog/CVS/Root          |    1 -
 usrp2/fpga/opencores/i2c/vhdl/CVS/Entries          |    1 -
 usrp2/fpga/opencores/i2c/vhdl/CVS/Repository       |    1 -
 usrp2/fpga/opencores/i2c/vhdl/CVS/Root             |    1 -
 usrp2/fpga/opencores/simple_gpio/CVS/Entries       |    1 -
 usrp2/fpga/opencores/simple_gpio/CVS/Repository    |    1 -
 usrp2/fpga/opencores/simple_gpio/CVS/Root          |    1 -
 usrp2/fpga/opencores/simple_gpio/rtl/CVS/Entries   |    2 -
 .../fpga/opencores/simple_gpio/rtl/CVS/Repository  |    1 -
 usrp2/fpga/opencores/simple_gpio/rtl/CVS/Root      |    1 -
 usrp2/fpga/opencores/simple_gpio/rtl/simple_gpio.v |  193 -
 usrp2/fpga/opencores/simple_pic/CVS/Entries        |    1 -
 usrp2/fpga/opencores/simple_pic/CVS/Repository     |    1 -
 usrp2/fpga/opencores/simple_pic/CVS/Root           |    1 -
 usrp2/fpga/opencores/simple_pic/rtl/CVS/Entries    |    2 -
 usrp2/fpga/opencores/simple_pic/rtl/CVS/Repository |    1 -
 usrp2/fpga/opencores/simple_pic/rtl/CVS/Root       |    1 -
 usrp2/fpga/opencores/simple_pic/rtl/simple_pic.v   |  228 -
 usrp2/fpga/opencores/spi/CVS/Entries               |    4 -
 usrp2/fpga/opencores/spi/CVS/Repository            |    1 -
 usrp2/fpga/opencores/spi/CVS/Root                  |    1 -
 usrp2/fpga/opencores/spi/bench/CVS/Entries         |    1 -
 usrp2/fpga/opencores/spi/bench/CVS/Repository      |    1 -
 usrp2/fpga/opencores/spi/bench/CVS/Root            |    1 -
 usrp2/fpga/opencores/spi/bench/verilog/CVS/Entries |    4 -
 .../opencores/spi/bench/verilog/CVS/Repository     |    1 -
 usrp2/fpga/opencores/spi/bench/verilog/CVS/Root    |    1 -
 .../opencores/spi/bench/verilog/spi_slave_model.v  |   73 -
 .../fpga/opencores/spi/bench/verilog/tb_spi_top.v  |  339 -
 .../opencores/spi/bench/verilog/wb_master_model.v  |  176 -
 usrp2/fpga/opencores/spi/doc/CVS/Entries           |    2 -
 usrp2/fpga/opencores/spi/doc/CVS/Repository        |    1 -
 usrp2/fpga/opencores/spi/doc/CVS/Root              |    1 -
 usrp2/fpga/opencores/spi/doc/spi.pdf               |  Bin 78741 -> 0 bytes
 usrp2/fpga/opencores/spi/doc/src/CVS/Entries       |    2 -
 usrp2/fpga/opencores/spi/doc/src/CVS/Repository    |    1 -
 usrp2/fpga/opencores/spi/doc/src/CVS/Root          |    1 -
 usrp2/fpga/opencores/spi/doc/src/spi.doc           |  Bin 231936 -> 0 bytes
 usrp2/fpga/opencores/spi/rtl/CVS/Entries           |    1 -
 usrp2/fpga/opencores/spi/rtl/CVS/Repository        |    1 -
 usrp2/fpga/opencores/spi/rtl/CVS/Root              |    1 -
 usrp2/fpga/opencores/spi/rtl/verilog/CVS/Entries   |    6 -
 .../fpga/opencores/spi/rtl/verilog/CVS/Repository  |    1 -
 usrp2/fpga/opencores/spi/rtl/verilog/CVS/Root      |    1 -
 usrp2/fpga/opencores/spi/rtl/verilog/spi_clgen.v   |  108 -
 usrp2/fpga/opencores/spi/rtl/verilog/spi_defines.v |  159 -
 usrp2/fpga/opencores/spi/rtl/verilog/spi_shift.v   |  238 -
 usrp2/fpga/opencores/spi/rtl/verilog/spi_top.v     |  287 -
 usrp2/fpga/opencores/spi/rtl/verilog/timescale.v   |    2 -
 usrp2/fpga/opencores/spi/sim/CVS/Entries           |    2 -
 usrp2/fpga/opencores/spi/sim/CVS/Repository        |    1 -
 usrp2/fpga/opencores/spi/sim/CVS/Root              |    1 -
 usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Entries   |    1 -
 .../fpga/opencores/spi/sim/rtl_sim/CVS/Repository  |    1 -
 usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Root      |    1 -
 .../fpga/opencores/spi/sim/rtl_sim/run/CVS/Entries |    4 -
 .../opencores/spi/sim/rtl_sim/run/CVS/Repository   |    1 -
 usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Root  |    1 -
 usrp2/fpga/opencores/spi/sim/rtl_sim/run/rtl.fl    |    3 -
 usrp2/fpga/opencores/spi/sim/rtl_sim/run/run_sim   |  108 -
 usrp2/fpga/opencores/spi/sim/rtl_sim/run/sim.fl    |    3 -
 usrp2/fpga/opencores/spi/sim/run/CVS/Entries       |    1 -
 usrp2/fpga/opencores/spi/sim/run/CVS/Repository    |    1 -
 usrp2/fpga/opencores/spi/sim/run/CVS/Root          |    1 -
 usrp2/fpga/opencores/spi_boot/COMPILE_LIST         |   33 -
 usrp2/fpga/opencores/spi_boot/COPYING              |  340 -
 usrp2/fpga/opencores/spi_boot/CVS/Entries          |    9 -
 usrp2/fpga/opencores/spi_boot/CVS/Repository       |    1 -
 usrp2/fpga/opencores/spi_boot/CVS/Root             |    1 -
 usrp2/fpga/opencores/spi_boot/KNOWN_BUGS           |    4 -
 usrp2/fpga/opencores/spi_boot/README               |  170 -
 usrp2/fpga/opencores/spi_boot/bench/CVS/Entries    |    1 -
 usrp2/fpga/opencores/spi_boot/bench/CVS/Repository |    1 -
 usrp2/fpga/opencores/spi_boot/bench/CVS/Root       |    1 -
 .../fpga/opencores/spi_boot/bench/vhdl/CVS/Entries |   13 -
 .../opencores/spi_boot/bench/vhdl/CVS/Repository   |    1 -
 usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Root  |    1 -
 .../fpga/opencores/spi_boot/bench/vhdl/card-c.vhd  |   14 -
 usrp2/fpga/opencores/spi_boot/bench/vhdl/card.vhd  |  446 -
 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb-c.vhd  |   31 -
 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb.vhd    |  201 -
 .../spi_boot/bench/vhdl/tb_elem-full-c.vhd         |   23 -
 .../spi_boot/bench/vhdl/tb_elem-minimal-c.vhd      |   23 -
 .../spi_boot/bench/vhdl/tb_elem-mmc-c.vhd          |   23 -
 .../opencores/spi_boot/bench/vhdl/tb_elem-sd-c.vhd |   23 -
 .../fpga/opencores/spi_boot/bench/vhdl/tb_elem.vhd |  376 -
 .../opencores/spi_boot/bench/vhdl/tb_pack-p.vhd    |   93 -
 .../fpga/opencores/spi_boot/bench/vhdl/tb_rl-c.vhd |   27 -
 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_rl.vhd |  259 -
 usrp2/fpga/opencores/spi_boot/doc/CVS/Entries      |    3 -
 usrp2/fpga/opencores/spi_boot/doc/CVS/Repository   |    1 -
 usrp2/fpga/opencores/spi_boot/doc/CVS/Root         |    1 -
 usrp2/fpga/opencores/spi_boot/doc/spi_boot.pdf     |  Bin 113923 -> 0 bytes
 .../opencores/spi_boot/doc/spi_boot_schematic.pdf  |  Bin 87189 -> 0 bytes
 usrp2/fpga/opencores/spi_boot/doc/src/CVS/Entries  |   10 -
 .../fpga/opencores/spi_boot/doc/src/CVS/Repository |    1 -
 usrp2/fpga/opencores/spi_boot/doc/src/CVS/Root     |    1 -
 .../opencores/spi_boot/doc/src/architecture.eps    |  512 -
 .../opencores/spi_boot/doc/src/architecture.fig    |  222 -
 .../opencores/spi_boot/doc/src/initialization.eps  |  303 -
 .../opencores/spi_boot/doc/src/initialization.fig  |  119 -
 .../spi_boot/doc/src/memory_organization.eps       |  421 -
 .../spi_boot/doc/src/memory_organization.fig       |  176 -
 usrp2/fpga/opencores/spi_boot/doc/src/spi_boot.sxw |  Bin 39665 -> 0 bytes
 usrp2/fpga/opencores/spi_boot/doc/src/transfer.eps |  323 -
 usrp2/fpga/opencores/spi_boot/doc/src/transfer.fig |  119 -
 usrp2/fpga/opencores/spi_boot/rtl/CVS/Entries      |    1 -
 usrp2/fpga/opencores/spi_boot/rtl/CVS/Repository   |    1 -
 usrp2/fpga/opencores/spi_boot/rtl/CVS/Root         |    1 -
 usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Entries |   15 -
 .../opencores/spi_boot/rtl/vhdl/CVS/Repository     |    1 -
 usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Root    |    1 -
 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-e.vhd  |   91 -
 .../opencores/spi_boot/rtl/vhdl/chip-full-a.vhd    |  164 -
 .../opencores/spi_boot/rtl/vhdl/chip-full-c.vhd    |   19 -
 .../opencores/spi_boot/rtl/vhdl/chip-minimal-a.vhd |  164 -
 .../opencores/spi_boot/rtl/vhdl/chip-minimal-c.vhd |   19 -
 .../opencores/spi_boot/rtl/vhdl/chip-mmc-a.vhd     |  164 -
 .../opencores/spi_boot/rtl/vhdl/chip-mmc-c.vhd     |   19 -
 .../fpga/opencores/spi_boot/rtl/vhdl/chip-sd-a.vhd |  164 -
 .../fpga/opencores/spi_boot/rtl/vhdl/chip-sd-c.vhd |   19 -
 .../opencores/spi_boot/rtl/vhdl/sample/CVS/Entries |    3 -
 .../spi_boot/rtl/vhdl/sample/CVS/Repository        |    1 -
 .../opencores/spi_boot/rtl/vhdl/sample/CVS/Root    |    1 -
 .../spi_boot/rtl/vhdl/sample/ram_loader-c.vhd      |   10 -
 .../spi_boot/rtl/vhdl/sample/ram_loader.vhd        |  355 -
 .../opencores/spi_boot/rtl/vhdl/spi_boot-c.vhd     |   27 -
 .../fpga/opencores/spi_boot/rtl/vhdl/spi_boot.vhd  |  979 -
 .../spi_boot/rtl/vhdl/spi_boot_pack-p.vhd          |   54 -
 .../opencores/spi_boot/rtl/vhdl/spi_counter-c.vhd  |   14 -
 .../opencores/spi_boot/rtl/vhdl/spi_counter.vhd    |  118 -
 usrp2/fpga/opencores/spi_boot/sim/CVS/Entries      |    1 -
 usrp2/fpga/opencores/spi_boot/sim/CVS/Repository   |    1 -
 usrp2/fpga/opencores/spi_boot/sim/CVS/Root         |    1 -
 .../opencores/spi_boot/sim/rtl_sim/CVS/Entries     |    2 -
 .../opencores/spi_boot/sim/rtl_sim/CVS/Repository  |    1 -
 usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Root |    1 -
 usrp2/fpga/opencores/spi_boot/sim/rtl_sim/Makefile |  159 -
 usrp2/fpga/opencores/spi_boot/sw/CVS/Entries       |    1 -
 usrp2/fpga/opencores/spi_boot/sw/CVS/Repository    |    1 -
 usrp2/fpga/opencores/spi_boot/sw/CVS/Root          |    1 -
 usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Entries  |    2 -
 .../fpga/opencores/spi_boot/sw/misc/CVS/Repository |    1 -
 usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Root     |    1 -
 .../fpga/opencores/spi_boot/sw/misc/bit_reverse.c  |   74 -
 usrp2/fpga/opencores/wb_zbt/CVS/Entries            |    2 -
 usrp2/fpga/opencores/wb_zbt/CVS/Repository         |    1 -
 usrp2/fpga/opencores/wb_zbt/CVS/Root               |    1 -
 usrp2/fpga/opencores/wb_zbt/wb_zbt.v               |  149 -
 usrp2/fpga/sdr_lib/.gitignore                      |    3 -
 usrp2/fpga/sdr_lib/HB.sav                          |   56 -
 usrp2/fpga/sdr_lib/SMALL_HB.sav                    |   40 -
 usrp2/fpga/sdr_lib/acc.v                           |   28 -
 usrp2/fpga/sdr_lib/add2.v                          |   11 -
 usrp2/fpga/sdr_lib/add2_and_round.v                |   11 -
 usrp2/fpga/sdr_lib/add2_and_round_reg.v            |   16 -
 usrp2/fpga/sdr_lib/add2_reg.v                      |   17 -
 usrp2/fpga/sdr_lib/cic_dec_shifter.v               |  106 -
 usrp2/fpga/sdr_lib/cic_decim.v                     |   88 -
 usrp2/fpga/sdr_lib/cic_int_shifter.v               |  100 -
 usrp2/fpga/sdr_lib/cic_interp.v                    |   87 -
 usrp2/fpga/sdr_lib/cic_strober.v                   |   45 -
 usrp2/fpga/sdr_lib/clip.v                          |   36 -
 usrp2/fpga/sdr_lib/clip_and_round.v                |   43 -
 usrp2/fpga/sdr_lib/clip_and_round_reg.v            |   40 -
 usrp2/fpga/sdr_lib/clip_reg.v                      |   38 -
 usrp2/fpga/sdr_lib/cordic.v                        |  109 -
 usrp2/fpga/sdr_lib/cordic_stage.v                  |   60 -
 usrp2/fpga/sdr_lib/cordic_z24.v                    |  126 -
 usrp2/fpga/sdr_lib/ddc.v                           |   97 -
 usrp2/fpga/sdr_lib/dsp_core_rx.v                   |  179 -
 usrp2/fpga/sdr_lib/dsp_core_tx.v                   |  152 -
 usrp2/fpga/sdr_lib/duc.v                           |   95 -
 usrp2/fpga/sdr_lib/dummy_rx.v                      |   62 -
 usrp2/fpga/sdr_lib/gen_cordic_consts.py            |   10 -
 usrp2/fpga/sdr_lib/halfband_ideal.v                |   84 -
 usrp2/fpga/sdr_lib/halfband_tb.v                   |  120 -
 usrp2/fpga/sdr_lib/hb/acc.v                        |   22 -
 usrp2/fpga/sdr_lib/hb/coeff_ram.v                  |   26 -
 usrp2/fpga/sdr_lib/hb/coeff_rom.v                  |   19 -
 usrp2/fpga/sdr_lib/hb/halfband_decim.v             |  163 -
 usrp2/fpga/sdr_lib/hb/halfband_interp.v            |  121 -
 usrp2/fpga/sdr_lib/hb/hbd_tb/HBD                   |   80 -
 usrp2/fpga/sdr_lib/hb/hbd_tb/really_golden         |  142 -
 usrp2/fpga/sdr_lib/hb/hbd_tb/regression            |   95 -
 usrp2/fpga/sdr_lib/hb/hbd_tb/run_hbd               |    4 -
 usrp2/fpga/sdr_lib/hb/hbd_tb/test_hbd.v            |   75 -
 usrp2/fpga/sdr_lib/hb/mac.v                        |   58 -
 usrp2/fpga/sdr_lib/hb/mult.v                       |   16 -
 usrp2/fpga/sdr_lib/hb/ram16_2port.v                |   22 -
 usrp2/fpga/sdr_lib/hb/ram16_2sum.v                 |   27 -
 usrp2/fpga/sdr_lib/hb/ram32_2sum.v                 |   22 -
 usrp2/fpga/sdr_lib/hb_dec.v                        |  171 -
 usrp2/fpga/sdr_lib/hb_dec_tb.v                     |  140 -
 usrp2/fpga/sdr_lib/hb_interp.v                     |  157 -
 usrp2/fpga/sdr_lib/hb_interp_tb.v                  |  132 -
 usrp2/fpga/sdr_lib/hb_tb.v                         |  155 -
 usrp2/fpga/sdr_lib/input.dat                       |  341 -
 usrp2/fpga/sdr_lib/integrate.v                     |   38 -
 usrp2/fpga/sdr_lib/med_hb_int.v                    |   95 -
 usrp2/fpga/sdr_lib/output.dat                      |  130 -
 usrp2/fpga/sdr_lib/round.v                         |   33 -
 usrp2/fpga/sdr_lib/round_reg.v                     |   39 -
 usrp2/fpga/sdr_lib/rssi.v                          |   30 -
 usrp2/fpga/sdr_lib/rx_control.v                    |  180 -
 usrp2/fpga/sdr_lib/rx_dcoffset.v                   |   43 -
 usrp2/fpga/sdr_lib/rx_dcoffset_tb.v                |   25 -
 usrp2/fpga/sdr_lib/sign_extend.v                   |   35 -
 usrp2/fpga/sdr_lib/small_hb_dec.v                  |  111 -
 usrp2/fpga/sdr_lib/small_hb_dec_tb.v               |  140 -
 usrp2/fpga/sdr_lib/small_hb_int.v                  |   85 -
 usrp2/fpga/sdr_lib/small_hb_int_tb.v               |  132 -
 usrp2/fpga/sdr_lib/tx_control.v                    |  168 -
 usrp2/fpga/serdes/serdes.v                         |   63 -
 usrp2/fpga/serdes/serdes_fc_rx.v                   |   62 -
 usrp2/fpga/serdes/serdes_fc_tx.v                   |   24 -
 usrp2/fpga/serdes/serdes_rx.v                      |  292 -
 usrp2/fpga/serdes/serdes_tb.v                      |  328 -
 usrp2/fpga/serdes/serdes_tx.v                      |  186 -
 usrp2/fpga/simple_gemac/.gitignore                 |    4 -
 usrp2/fpga/simple_gemac/address_filter.v           |   35 -
 usrp2/fpga/simple_gemac/crc.v                      |   66 -
 usrp2/fpga/simple_gemac/delay_line.v               |   21 -
 usrp2/fpga/simple_gemac/eth_tasks.v                |  156 -
 usrp2/fpga/simple_gemac/eth_tasks_f36.v            |   92 -
 usrp2/fpga/simple_gemac/flow_ctrl_rx.v             |   61 -
 usrp2/fpga/simple_gemac/flow_ctrl_tx.v             |   39 -
 usrp2/fpga/simple_gemac/ll8_to_txmac.v             |   43 -
 usrp2/fpga/simple_gemac/miim/eth_clockgen.v        |  141 -
 usrp2/fpga/simple_gemac/miim/eth_miim.v            |  470 -
 usrp2/fpga/simple_gemac/miim/eth_outputcontrol.v   |  158 -
 usrp2/fpga/simple_gemac/miim/eth_shiftreg.v        |  159 -
 usrp2/fpga/simple_gemac/rxmac_to_ll8.v             |   54 -
 usrp2/fpga/simple_gemac/simple_gemac.v             |   61 -
 usrp2/fpga/simple_gemac/simple_gemac_rx.v          |  174 -
 usrp2/fpga/simple_gemac/simple_gemac_tb.v          |  200 -
 usrp2/fpga/simple_gemac/simple_gemac_tx.v          |  254 -
 usrp2/fpga/simple_gemac/simple_gemac_wb.v          |  161 -
 usrp2/fpga/simple_gemac/simple_gemac_wrapper.build |    1 -
 usrp2/fpga/simple_gemac/simple_gemac_wrapper.v     |  165 -
 .../simple_gemac/simple_gemac_wrapper_f36_tb.v     |  243 -
 usrp2/fpga/simple_gemac/simple_gemac_wrapper_tb.v  |  209 -
 usrp2/fpga/simple_gemac/test_packet.mem            |   66 -
 usrp2/fpga/testbench/.gitignore                    |    5 -
 usrp2/fpga/testbench/BOOTSTRAP.sav                 |   82 -
 usrp2/fpga/testbench/Makefile                      |   10 -
 usrp2/fpga/testbench/PAUSE.sav                     |   62 -
 usrp2/fpga/testbench/README                        |    5 -
 usrp2/fpga/testbench/SERDES.sav                    |   35 -
 usrp2/fpga/testbench/U2_SIM.sav                    |   95 -
 usrp2/fpga/testbench/cmdfile                       |   27 -
 usrp2/fpga/timing/.gitignore                       |    2 -
 usrp2/fpga/timing/time_64bit.v                     |   63 -
 usrp2/fpga/timing/time_receiver.v                  |   94 -
 usrp2/fpga/timing/time_sender.v                    |  110 -
 usrp2/fpga/timing/time_sync.v                      |  146 -
 usrp2/fpga/timing/time_transfer_tb.v               |   50 -
 usrp2/fpga/timing/timer.v                          |   40 -
 usrp2/fpga/top/.gitignore                          |    1 -
 usrp2/fpga/top/eth_test/.gitignore                 |   43 -
 usrp2/fpga/top/eth_test/eth_sim_top.v              |  437 -
 usrp2/fpga/top/eth_test/eth_tb.v                   |  257 -
 usrp2/fpga/top/single_u2_sim/single_u2_sim.v       |  324 -
 usrp2/fpga/top/tcl/ise_helper.tcl                  |   89 -
 usrp2/fpga/top/u2_core/.gitignore                  |   44 -
 usrp2/fpga/top/u2_core/u2_core.v                   |  761 -
 usrp2/fpga/top/u2_rev1/.gitignore                  |   52 -
 usrp2/fpga/top/u2_rev1/Makefile                    |  129 -
 usrp2/fpga/top/u2_rev1/u2_fpga.ise                 |  Bin 477678 -> 0 bytes
 usrp2/fpga/top/u2_rev1/u2_fpga.ucf                 |  341 -
 usrp2/fpga/top/u2_rev1/u2_fpga_top.prj             |  102 -
 usrp2/fpga/top/u2_rev1/u2_fpga_top.v               |  393 -
 usrp2/fpga/top/u2_rev2/.gitignore                  |   57 -
 usrp2/fpga/top/u2_rev2/Makefile                    |  248 -
 usrp2/fpga/top/u2_rev2/u2_rev2.ucf                 |  337 -
 usrp2/fpga/top/u2_rev2/u2_rev2.v                   |  417 -
 usrp2/fpga/top/u2_rev3/.gitignore                  |   57 -
 usrp2/fpga/top/u2_rev3/Makefile                    |  246 -
 usrp2/fpga/top/u2_rev3/u2_rev3.ucf                 |  333 -
 usrp2/fpga/top/u2_rev3/u2_rev3.v                   |  432 -
 usrp2/fpga/top/u2_rev3_2rx_iad/Makefile            |  254 -
 usrp2/fpga/top/u2_rev3_2rx_iad/README              |   32 -
 usrp2/fpga/top/u2_rev3_2rx_iad/cmdfile             |    4 -
 usrp2/fpga/top/u2_rev3_2rx_iad/dsp_core_rx.v       |  212 -
 usrp2/fpga/top/u2_rev3_2rx_iad/dsp_core_tb.sav     |  106 -
 usrp2/fpga/top/u2_rev3_2rx_iad/dsp_core_tb.v       |  233 -
 usrp2/fpga/top/u2_rev3_2rx_iad/impulse.v           |   68 -
 usrp2/fpga/top/u2_rev3_2rx_iad/u2_core.v           |  789 -
 usrp2/fpga/top/u2_rev3_2rx_iad/wave.sh             |    3 -
 usrp2/fpga/top/u2_rev3_iad/.gitignore              |    4 -
 usrp2/fpga/top/u2_rev3_iad/Makefile                |  254 -
 usrp2/fpga/top/u2_rev3_iad/cmdfile                 |    4 -
 usrp2/fpga/top/u2_rev3_iad/dsp_core_rx.v           |  158 -
 usrp2/fpga/top/u2_rev3_iad/dsp_core_tb.sav         |   61 -
 usrp2/fpga/top/u2_rev3_iad/dsp_core_tb.v           |  196 -
 usrp2/fpga/top/u2_rev3_iad/impulse.v               |   63 -
 usrp2/fpga/top/u2_rev3_iad/wave.sh                 |    3 -
 usrp2/fpga/top/u2plus/u2plus.ucf                   |  354 -
 usrp2/fpga/top/u2plus/u2plus.v                     |  377 -
 usrp2/host/apps/Makefile.am                        |    5 +-
 usrp2/host/apps/tx_samples.cc                      |    4 +
 usrp2/host/include/usrp2/usrp2.h                   |   11 +
 usrp2/host/lib/Makefile.am                         |    9 +-
 usrp2/host/lib/control.cc                          |   23 +-
 usrp2/host/lib/control.h                           |    8 +-
 usrp2/host/lib/ring.cc                             |   14 +-
 usrp2/host/lib/ring.h                              |    8 +-
 usrp2/host/lib/usrp2.cc                            |   10 +
 usrp2/host/lib/usrp2_impl.cc                       |   85 +-
 usrp2/host/lib/usrp2_impl.h                        |   26 +-
 usrp2/host/lib/usrp2_thread.cc                     |   64 -
 usrp2/host/lib/usrp2_thread.h                      |   47 -
 usrp2/host/usrp2.pc.in                             |    2 +-
 version.sh                                         |    4 +-
 vrt/.gitignore                                     |    3 -
 vrt/Makefile.am                                    |   29 -
 vrt/apps/.gitignore                                |    5 -
 vrt/apps/Makefile.am                               |   18 -
 vrt/include/Makefile.am                            |   23 -
 vrt/include/vrt/Makefile.am                        |   30 -
 vrt/include/vrt/bits.h                             |   92 -
 vrt/include/vrt/copiers.h                          |   49 -
 vrt/include/vrt/expanded_header.h                  |  112 -
 vrt/include/vrt/rx.h                               |   93 -
 vrt/include/vrt/rx_packet_handler.h                |   62 -
 vrt/include/vrt/types.h                            |  138 -
 vrt/lib/.gitignore                                 |    4 -
 vrt/lib/Makefile.am                                |   50 -
 vrt/lib/copiers.cc                                 |   71 -
 vrt/lib/data_handler.cc                            |   32 -
 vrt/lib/data_handler.h                             |   53 -
 vrt/lib/expanded_header.cc                         |  135 -
 vrt/lib/expanded_header_parse_switch_body.h        |  320 -
 vrt/lib/expanded_header_unparse_switch_body.h      |  272 -
 vrt/lib/gen_parse_switch_body.py                   |   85 -
 vrt/lib/gen_unparse_switch_body.py                 |   79 -
 vrt/lib/rx.cc                                      |  124 -
 vrt/lib/rx_packet_handler.cc                       |   41 -
 vrt/lib/socket_rx_buffer.cc                        |  278 -
 vrt/lib/socket_rx_buffer.h                         |  122 -
 vrt/vrt.pc.in                                      |   11 -
 1238 files changed, 8421 insertions(+), 1620230 deletions(-)
 delete mode 100755 README.components
 delete mode 100644 README.organization
 delete mode 100644 config/gr_omnithread.m4
 delete mode 100644 config/grc_mblock.m4
 delete mode 100644 config/grc_omnithread.m4
 delete mode 100644 config/grc_vrt.m4
 delete mode 100644 docs/doxygen/other/omnithread.html
 delete mode 100644 docs/doxygen/other/omnithread.pdf
 delete mode 100644 docs/doxygen/other/omnithread.ps
 create mode 100644 gnuradio-core/src/lib/filter/gri_fft_filter_ccc_generic.cc
 create mode 100644 gnuradio-core/src/lib/filter/gri_fft_filter_ccc_generic.h
 create mode 100644 gnuradio-core/src/lib/filter/gri_fft_filter_ccc_sse.cc
 create mode 100644 gnuradio-core/src/lib/filter/gri_fft_filter_ccc_sse.h
 create mode 100644 gnuradio-core/src/lib/filter/gri_fft_filter_fff_generic.cc
 create mode 100644 gnuradio-core/src/lib/filter/gri_fft_filter_fff_generic.h
 create mode 100644 gnuradio-core/src/lib/filter/gri_fft_filter_fff_sse.cc
 create mode 100644 gnuradio-core/src/lib/filter/gri_fft_filter_fff_sse.h
 create mode 100644 gnuradio-core/src/lib/general/gr_additive_scrambler_bb.cc
 create mode 100644 gnuradio-core/src/lib/general/gr_additive_scrambler_bb.h
 create mode 100644 gnuradio-core/src/lib/general/gr_additive_scrambler_bb.i
 delete mode 100644 gnuradio-core/src/lib/general/gr_dd_mpsk_sync_cc.cc
 delete mode 100644 gnuradio-core/src/lib/general/gr_dd_mpsk_sync_cc.h
 delete mode 100644 gnuradio-core/src/lib/general/gr_dd_mpsk_sync_cc.i
 delete mode 100644 gnuradio-core/src/lib/io/gri_logger.cc
 delete mode 100644 gnuradio-core/src/lib/io/gri_logger.h
 create mode 100755 gnuradio-core/src/python/gnuradio/gr/qa_udp_sink_source.py
 create mode 100644 gnuradio-core/src/python/gnuradio/modulation_utils2.py
 create mode 100755 gnuradio-examples/python/digital/benchmark_rx2.py
 create mode 100755 gnuradio-examples/python/digital/benchmark_tx2.py
 create mode 100644 gnuradio-examples/python/digital/pick_bitrate2.py
 create mode 100644 gnuradio-examples/python/digital/usrp_receive_path2.py
 create mode 100644 gnuradio-examples/python/digital/usrp_transmit_path2.py
 delete mode 100644 gr-audio-osx/src/mld_threads.h
 create mode 100755 gr-utils/src/python/create-gnuradio-out-of-tree-project
 create mode 100644 grc/blocks/gr_additive_scrambler_bb.xml
 delete mode 100644 grc/blocks/gr_dd_mpsk_sync_cc.xml
 create mode 100644 grc/freedesktop/gnuradio-gnuradio-companion.desktop
 delete mode 100644 grc/freedesktop/gnuradio-grc.desktop
 rename grc/scripts/{grc => gnuradio-companion} (100%)
 create mode 100644 gruel/src/lib/thread.cc
 delete mode 100644 mblock/.gitignore
 delete mode 100644 mblock/Makefile.am
 delete mode 100644 mblock/README
 delete mode 100644 mblock/doc/.gitignore
 delete mode 100644 mblock/doc/Makefile.am
 delete mode 100644 mblock/mblock.pc.in
 delete mode 100644 mblock/src/.gitignore
 delete mode 100644 mblock/src/Makefile.am
 delete mode 100644 mblock/src/include/.gitignore
 delete mode 100644 mblock/src/include/Makefile.am
 delete mode 100644 mblock/src/include/mblock/.gitignore
 delete mode 100644 mblock/src/include/mblock/Makefile.am
 delete mode 100644 mblock/src/include/mblock/class_registry.h
 delete mode 100644 mblock/src/include/mblock/common.h
 delete mode 100644 mblock/src/include/mblock/exception.h
 delete mode 100644 mblock/src/include/mblock/mblock.h
 delete mode 100644 mblock/src/include/mblock/message.h
 delete mode 100644 mblock/src/include/mblock/msg_accepter.h
 delete mode 100644 mblock/src/include/mblock/msg_queue.h
 delete mode 100644 mblock/src/include/mblock/port.h
 delete mode 100644 mblock/src/include/mblock/protocol_class.h
 delete mode 100644 mblock/src/include/mblock/runtime.h
 delete mode 100644 mblock/src/include/mblock/time.h
 delete mode 100644 mblock/src/lib/.gitignore
 delete mode 100644 mblock/src/lib/Makefile.am
 delete mode 100644 mblock/src/lib/README.locking
 delete mode 100644 mblock/src/lib/benchmark_send.cc
 delete mode 100644 mblock/src/lib/getres.cc
 delete mode 100644 mblock/src/lib/mb_class_registry.cc
 delete mode 100644 mblock/src/lib/mb_connection.cc
 delete mode 100644 mblock/src/lib/mb_connection.h
 delete mode 100644 mblock/src/lib/mb_endpoint.cc
 delete mode 100644 mblock/src/lib/mb_endpoint.h
 delete mode 100644 mblock/src/lib/mb_exception.cc
 delete mode 100644 mblock/src/lib/mb_gettid.cc
 delete mode 100644 mblock/src/lib/mb_gettid.h
 delete mode 100644 mblock/src/lib/mb_mblock.cc
 delete mode 100644 mblock/src/lib/mb_mblock_impl.cc
 delete mode 100644 mblock/src/lib/mb_mblock_impl.h
 delete mode 100644 mblock/src/lib/mb_message.cc
 delete mode 100644 mblock/src/lib/mb_msg_accepter.cc
 delete mode 100644 mblock/src/lib/mb_msg_accepter_msgq.cc
 delete mode 100644 mblock/src/lib/mb_msg_accepter_msgq.h
 delete mode 100644 mblock/src/lib/mb_msg_accepter_smp.cc
 delete mode 100644 mblock/src/lib/mb_msg_accepter_smp.h
 delete mode 100644 mblock/src/lib/mb_msg_queue.cc
 delete mode 100644 mblock/src/lib/mb_port.cc
 delete mode 100644 mblock/src/lib/mb_port_simple.cc
 delete mode 100644 mblock/src/lib/mb_port_simple.h
 delete mode 100644 mblock/src/lib/mb_protocol_class.cc
 delete mode 100644 mblock/src/lib/mb_runtime.cc
 delete mode 100644 mblock/src/lib/mb_runtime_base.cc
 delete mode 100644 mblock/src/lib/mb_runtime_base.h
 delete mode 100644 mblock/src/lib/mb_runtime_nop.cc
 delete mode 100644 mblock/src/lib/mb_runtime_nop.h
 delete mode 100644 mblock/src/lib/mb_runtime_thread_per_block.cc
 delete mode 100644 mblock/src/lib/mb_runtime_thread_per_block.h
 delete mode 100644 mblock/src/lib/mb_timer_queue.cc
 delete mode 100644 mblock/src/lib/mb_timer_queue.h
 delete mode 100644 mblock/src/lib/mb_util.cc
 delete mode 100644 mblock/src/lib/mb_util.h
 delete mode 100644 mblock/src/lib/mb_worker.cc
 delete mode 100644 mblock/src/lib/mb_worker.h
 delete mode 100644 mblock/src/lib/mbi_runtime_lock.h
 delete mode 100644 mblock/src/lib/qa_bitset.cc
 delete mode 100644 mblock/src/lib/qa_bitset.mbh
 delete mode 100644 mblock/src/lib/qa_disconnect.cc
 delete mode 100644 mblock/src/lib/qa_mblock.cc
 delete mode 100644 mblock/src/lib/qa_mblock.h
 delete mode 100644 mblock/src/lib/qa_mblock_prims.cc
 delete mode 100644 mblock/src/lib/qa_mblock_prims.h
 delete mode 100644 mblock/src/lib/qa_mblock_send.cc
 delete mode 100644 mblock/src/lib/qa_mblock_send.h
 delete mode 100644 mblock/src/lib/qa_mblock_sys.cc
 delete mode 100644 mblock/src/lib/qa_mblock_sys.h
 delete mode 100644 mblock/src/lib/qa_timeouts.cc
 delete mode 100644 mblock/src/lib/qa_timeouts.h
 delete mode 100644 mblock/src/lib/test_mblock.cc
 delete mode 100644 mblock/src/scheme/.gitignore
 delete mode 100644 mblock/src/scheme/Makefile.am
 delete mode 100644 mblock/src/scheme/gnuradio/.gitignore
 delete mode 100644 mblock/src/scheme/gnuradio/Makefile.am
 delete mode 100755 mblock/src/scheme/gnuradio/compile-mbh.scm
 delete mode 100644 omnithread/.gitignore
 delete mode 100644 omnithread/Makefile.am
 delete mode 100644 omnithread/README
 delete mode 100644 omnithread/dir.mk
 delete mode 100644 omnithread/gnuradio-omnithread.pc.in
 delete mode 100644 omnithread/gnuradio/.gitignore
 delete mode 100644 omnithread/gnuradio/Makefile.am
 delete mode 100644 omnithread/gnuradio/omni_time.h
 delete mode 100644 omnithread/gnuradio/omnithread.h
 delete mode 100644 omnithread/gnuradio/ot_VxThread.h
 delete mode 100644 omnithread/gnuradio/ot_mach.h
 delete mode 100644 omnithread/gnuradio/ot_nt.h
 delete mode 100644 omnithread/gnuradio/ot_posix.h
 delete mode 100644 omnithread/gnuradio/ot_pthread_nt.h
 delete mode 100644 omnithread/gnuradio/ot_solaris.h
 delete mode 100644 omnithread/mach.cc
 delete mode 100644 omnithread/nt.cc
 delete mode 100644 omnithread/omni_time.cc
 delete mode 100644 omnithread/posix.cc
 delete mode 100644 omnithread/solaris.cc
 delete mode 100644 omnithread/threaddata.cc
 delete mode 100644 omnithread/vxWorks.cc
 delete mode 100644 usrp/fpga/Makefile.extra
 create mode 100644 usrp/fpga/README
 delete mode 100644 usrp/fpga/TODO
 delete mode 100755 usrp/fpga/gen_makefile_extra.py
 delete mode 100755 usrp/fpga/inband_lib/chan_fifo_reader.v
 delete mode 100644 usrp/fpga/inband_lib/channel_demux.v
 delete mode 100755 usrp/fpga/inband_lib/channel_ram.v
 delete mode 100755 usrp/fpga/inband_lib/cmd_reader.v
 delete mode 100755 usrp/fpga/inband_lib/packet_builder.v
 delete mode 100755 usrp/fpga/inband_lib/register_io.v
 delete mode 100755 usrp/fpga/inband_lib/rx_buffer_inband.v
 delete mode 100755 usrp/fpga/inband_lib/tx_buffer_inband.v
 delete mode 100644 usrp/fpga/inband_lib/tx_packer.v
 delete mode 100755 usrp/fpga/inband_lib/usb_packet_fifo.v
 delete mode 100644 usrp/fpga/megacells/.gitignore
 delete mode 100755 usrp/fpga/megacells/accum32.bsf
 delete mode 100755 usrp/fpga/megacells/accum32.cmp
 delete mode 100755 usrp/fpga/megacells/accum32.inc
 delete mode 100755 usrp/fpga/megacells/accum32.v
 delete mode 100755 usrp/fpga/megacells/accum32_bb.v
 delete mode 100755 usrp/fpga/megacells/accum32_inst.v
 delete mode 100755 usrp/fpga/megacells/add32.bsf
 delete mode 100755 usrp/fpga/megacells/add32.cmp
 delete mode 100755 usrp/fpga/megacells/add32.inc
 delete mode 100755 usrp/fpga/megacells/add32.v
 delete mode 100755 usrp/fpga/megacells/add32_bb.v
 delete mode 100755 usrp/fpga/megacells/add32_inst.v
 delete mode 100755 usrp/fpga/megacells/addsub16.bsf
 delete mode 100755 usrp/fpga/megacells/addsub16.cmp
 delete mode 100755 usrp/fpga/megacells/addsub16.inc
 delete mode 100755 usrp/fpga/megacells/addsub16.v
 delete mode 100755 usrp/fpga/megacells/addsub16_bb.v
 delete mode 100755 usrp/fpga/megacells/addsub16_inst.v
 delete mode 100755 usrp/fpga/megacells/bustri.bsf
 delete mode 100755 usrp/fpga/megacells/bustri.cmp
 delete mode 100755 usrp/fpga/megacells/bustri.inc
 delete mode 100755 usrp/fpga/megacells/bustri.v
 delete mode 100755 usrp/fpga/megacells/bustri_bb.v
 delete mode 100755 usrp/fpga/megacells/bustri_inst.v
 delete mode 100644 usrp/fpga/megacells/clk_doubler.v
 delete mode 100644 usrp/fpga/megacells/clk_doubler_bb.v
 delete mode 100644 usrp/fpga/megacells/dspclkpll.v
 delete mode 100644 usrp/fpga/megacells/dspclkpll_bb.v
 delete mode 100755 usrp/fpga/megacells/fifo_1kx16.bsf
 delete mode 100755 usrp/fpga/megacells/fifo_1kx16.cmp
 delete mode 100755 usrp/fpga/megacells/fifo_1kx16.inc
 delete mode 100755 usrp/fpga/megacells/fifo_1kx16.v
 delete mode 100755 usrp/fpga/megacells/fifo_1kx16_bb.v
 delete mode 100755 usrp/fpga/megacells/fifo_1kx16_inst.v
 delete mode 100644 usrp/fpga/megacells/fifo_2k.v
 delete mode 100644 usrp/fpga/megacells/fifo_2k_bb.v
 delete mode 100644 usrp/fpga/megacells/fifo_4k.v
 delete mode 100755 usrp/fpga/megacells/fifo_4k_18.v
 delete mode 100644 usrp/fpga/megacells/fifo_4k_bb.v
 delete mode 100755 usrp/fpga/megacells/fifo_4kx16_dc.bsf
 delete mode 100755 usrp/fpga/megacells/fifo_4kx16_dc.cmp
 delete mode 100755 usrp/fpga/megacells/fifo_4kx16_dc.inc
 delete mode 100755 usrp/fpga/megacells/fifo_4kx16_dc.v
 delete mode 100755 usrp/fpga/megacells/fifo_4kx16_dc_bb.v
 delete mode 100755 usrp/fpga/megacells/fifo_4kx16_dc_inst.v
 delete mode 100755 usrp/fpga/megacells/mylpm_addsub.bsf
 delete mode 100755 usrp/fpga/megacells/mylpm_addsub.cmp
 delete mode 100755 usrp/fpga/megacells/mylpm_addsub.inc
 delete mode 100755 usrp/fpga/megacells/mylpm_addsub.v
 delete mode 100755 usrp/fpga/megacells/mylpm_addsub_bb.v
 delete mode 100755 usrp/fpga/megacells/mylpm_addsub_inst.v
 delete mode 100644 usrp/fpga/megacells/pll.v
 delete mode 100644 usrp/fpga/megacells/pll_bb.v
 delete mode 100644 usrp/fpga/megacells/pll_inst.v
 delete mode 100755 usrp/fpga/megacells/sub32.bsf
 delete mode 100755 usrp/fpga/megacells/sub32.cmp
 delete mode 100755 usrp/fpga/megacells/sub32.inc
 delete mode 100755 usrp/fpga/megacells/sub32.v
 delete mode 100755 usrp/fpga/megacells/sub32_bb.v
 delete mode 100755 usrp/fpga/megacells/sub32_inst.v
 delete mode 100644 usrp/fpga/models/bustri.v
 delete mode 100644 usrp/fpga/models/fifo.v
 delete mode 100644 usrp/fpga/models/fifo_1c_1k.v
 delete mode 100644 usrp/fpga/models/fifo_1c_2k.v
 delete mode 100644 usrp/fpga/models/fifo_1c_4k.v
 delete mode 100644 usrp/fpga/models/fifo_1k.v
 delete mode 100644 usrp/fpga/models/fifo_2k.v
 delete mode 100644 usrp/fpga/models/fifo_4k.v
 delete mode 100644 usrp/fpga/models/fifo_4k_18.v
 delete mode 100644 usrp/fpga/models/pll.v
 delete mode 100644 usrp/fpga/models/ssram.v
 delete mode 100644 usrp/fpga/sdr_lib/.gitignore
 delete mode 100644 usrp/fpga/sdr_lib/adc_interface.v
 delete mode 100644 usrp/fpga/sdr_lib/atr_delay.v
 delete mode 100644 usrp/fpga/sdr_lib/bidir_reg.v
 delete mode 100644 usrp/fpga/sdr_lib/cic_dec_shifter.v
 delete mode 100755 usrp/fpga/sdr_lib/cic_decim.v
 delete mode 100644 usrp/fpga/sdr_lib/cic_int_shifter.v
 delete mode 100755 usrp/fpga/sdr_lib/cic_interp.v
 delete mode 100755 usrp/fpga/sdr_lib/clk_divider.v
 delete mode 100755 usrp/fpga/sdr_lib/cordic.v
 delete mode 100755 usrp/fpga/sdr_lib/cordic_stage.v
 delete mode 100755 usrp/fpga/sdr_lib/ddc.v
 delete mode 100644 usrp/fpga/sdr_lib/dpram.v
 delete mode 100755 usrp/fpga/sdr_lib/duc.v
 delete mode 100644 usrp/fpga/sdr_lib/ext_fifo.v
 delete mode 100755 usrp/fpga/sdr_lib/gen_cordic_consts.py
 delete mode 100644 usrp/fpga/sdr_lib/gen_sync.v
 delete mode 100644 usrp/fpga/sdr_lib/hb/acc.v
 delete mode 100644 usrp/fpga/sdr_lib/hb/coeff_rom.v
 delete mode 100644 usrp/fpga/sdr_lib/hb/halfband_decim.v
 delete mode 100644 usrp/fpga/sdr_lib/hb/halfband_interp.v
 delete mode 100644 usrp/fpga/sdr_lib/hb/hbd_tb/HBD
 delete mode 100644 usrp/fpga/sdr_lib/hb/hbd_tb/really_golden
 delete mode 100644 usrp/fpga/sdr_lib/hb/hbd_tb/regression
 delete mode 100755 usrp/fpga/sdr_lib/hb/hbd_tb/run_hbd
 delete mode 100644 usrp/fpga/sdr_lib/hb/hbd_tb/test_hbd.v
 delete mode 100644 usrp/fpga/sdr_lib/hb/mac.v
 delete mode 100644 usrp/fpga/sdr_lib/hb/mult.v
 delete mode 100644 usrp/fpga/sdr_lib/hb/ram16_2port.v
 delete mode 100644 usrp/fpga/sdr_lib/hb/ram16_2sum.v
 delete mode 100644 usrp/fpga/sdr_lib/hb/ram32_2sum.v
 delete mode 100644 usrp/fpga/sdr_lib/io_pins.v
 delete mode 100644 usrp/fpga/sdr_lib/master_control.v
 delete mode 100644 usrp/fpga/sdr_lib/master_control_multi.v
 delete mode 100755 usrp/fpga/sdr_lib/phase_acc.v
 delete mode 100644 usrp/fpga/sdr_lib/ram.v
 delete mode 100644 usrp/fpga/sdr_lib/ram16.v
 delete mode 100644 usrp/fpga/sdr_lib/ram32.v
 delete mode 100644 usrp/fpga/sdr_lib/ram64.v
 delete mode 100644 usrp/fpga/sdr_lib/rssi.v
 delete mode 100644 usrp/fpga/sdr_lib/rx_buffer.v
 delete mode 100644 usrp/fpga/sdr_lib/rx_chain.v
 delete mode 100644 usrp/fpga/sdr_lib/rx_chain_dual.v
 delete mode 100644 usrp/fpga/sdr_lib/rx_dcoffset.v
 delete mode 100644 usrp/fpga/sdr_lib/serial_io.v
 delete mode 100644 usrp/fpga/sdr_lib/setting_reg.v
 delete mode 100644 usrp/fpga/sdr_lib/setting_reg_masked.v
 delete mode 100644 usrp/fpga/sdr_lib/sign_extend.v
 delete mode 100644 usrp/fpga/sdr_lib/strobe_gen.v
 delete mode 100644 usrp/fpga/sdr_lib/tx_buffer.v
 delete mode 100644 usrp/fpga/sdr_lib/tx_chain.v
 delete mode 100644 usrp/fpga/sdr_lib/tx_chain_hb.v
 delete mode 100644 usrp/fpga/tb/.gitignore
 delete mode 100644 usrp/fpga/tb/cbus_tb.v
 delete mode 100644 usrp/fpga/tb/cordic_tb.v
 delete mode 100644 usrp/fpga/tb/decim_tb.v
 delete mode 100755 usrp/fpga/tb/fullchip_tb.v
 delete mode 100755 usrp/fpga/tb/interp_tb.v
 delete mode 100644 usrp/fpga/tb/justinterp_tb.v
 delete mode 100755 usrp/fpga/tb/makesine.pl
 delete mode 100755 usrp/fpga/tb/run_cordic
 delete mode 100755 usrp/fpga/tb/run_fullchip
 delete mode 100755 usrp/fpga/tb/usrp_tasks.v
 delete mode 100644 usrp/fpga/toplevel/include/common_config_1rxhb_1tx.vh
 delete mode 100644 usrp/fpga/toplevel/include/common_config_2rx_0tx.vh
 delete mode 100644 usrp/fpga/toplevel/include/common_config_2rxhb_0tx.vh
 delete mode 100644 usrp/fpga/toplevel/include/common_config_2rxhb_2tx.vh
 delete mode 100644 usrp/fpga/toplevel/include/common_config_4rx_0tx.vh
 delete mode 100644 usrp/fpga/toplevel/include/common_config_bottom.vh
 delete mode 100644 usrp/fpga/toplevel/mrfm/.gitignore
 delete mode 100644 usrp/fpga/toplevel/mrfm/biquad_2stage.v
 delete mode 100644 usrp/fpga/toplevel/mrfm/biquad_6stage.v
 delete mode 100644 usrp/fpga/toplevel/mrfm/mrfm.csf
 delete mode 100644 usrp/fpga/toplevel/mrfm/mrfm.esf
 delete mode 100644 usrp/fpga/toplevel/mrfm/mrfm.psf
 delete mode 100644 usrp/fpga/toplevel/mrfm/mrfm.py
 delete mode 100644 usrp/fpga/toplevel/mrfm/mrfm.qpf
 delete mode 100644 usrp/fpga/toplevel/mrfm/mrfm.qsf
 delete mode 100644 usrp/fpga/toplevel/mrfm/mrfm.v
 delete mode 100644 usrp/fpga/toplevel/mrfm/mrfm.vh
 delete mode 100644 usrp/fpga/toplevel/mrfm/mrfm_compensator.v
 delete mode 100755 usrp/fpga/toplevel/mrfm/mrfm_fft.py
 delete mode 100644 usrp/fpga/toplevel/mrfm/mrfm_proc.v
 delete mode 100644 usrp/fpga/toplevel/mrfm/shifter.v
 delete mode 100644 usrp/fpga/toplevel/sizetest/.gitignore
 delete mode 100644 usrp/fpga/toplevel/sizetest/sizetest.csf
 delete mode 100644 usrp/fpga/toplevel/sizetest/sizetest.psf
 delete mode 100644 usrp/fpga/toplevel/sizetest/sizetest.quartus
 delete mode 100644 usrp/fpga/toplevel/sizetest/sizetest.ssf
 delete mode 100644 usrp/fpga/toplevel/sizetest/sizetest.v
 delete mode 100644 usrp/fpga/toplevel/usrp_inband_usb/.gitignore
 delete mode 100644 usrp/fpga/toplevel/usrp_inband_usb/config.vh
 delete mode 100644 usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.csf
 delete mode 100644 usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.esf
 delete mode 100644 usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.psf
 delete mode 100644 usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qpf
 delete mode 100644 usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf
 delete mode 100644 usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
 delete mode 100644 usrp/fpga/toplevel/usrp_multi/.gitignore
 delete mode 100644 usrp/fpga/toplevel/usrp_multi/config.vh
 delete mode 100644 usrp/fpga/toplevel/usrp_multi/usrp_multi.csf
 delete mode 100644 usrp/fpga/toplevel/usrp_multi/usrp_multi.esf
 delete mode 100644 usrp/fpga/toplevel/usrp_multi/usrp_multi.psf
 delete mode 100644 usrp/fpga/toplevel/usrp_multi/usrp_multi.qpf
 delete mode 100644 usrp/fpga/toplevel/usrp_multi/usrp_multi.qsf
 delete mode 100644 usrp/fpga/toplevel/usrp_multi/usrp_multi.v
 delete mode 100644 usrp/fpga/toplevel/usrp_std/.gitignore
 delete mode 100644 usrp/fpga/toplevel/usrp_std/config.vh
 delete mode 100644 usrp/fpga/toplevel/usrp_std/usrp_std.csf
 delete mode 100644 usrp/fpga/toplevel/usrp_std/usrp_std.esf
 delete mode 100644 usrp/fpga/toplevel/usrp_std/usrp_std.psf
 delete mode 100644 usrp/fpga/toplevel/usrp_std/usrp_std.qpf
 delete mode 100644 usrp/fpga/toplevel/usrp_std/usrp_std.qsf
 delete mode 100644 usrp/fpga/toplevel/usrp_std/usrp_std.v
 create mode 100644 usrp/host/include/usrp/db_bitshark_rx.h
 create mode 100644 usrp/host/lib/db_bitshark_rx.cc
 delete mode 100644 usrp/host/lib/mld_threads.h
 delete mode 100644 usrp/limbo/apps-inband/.gitignore
 delete mode 100644 usrp/limbo/apps-inband/Makefile.am
 delete mode 100644 usrp/limbo/apps-inband/read_packets.cc
 delete mode 100644 usrp/limbo/apps-inband/test_usrp_inband_2rx.cc
 delete mode 100644 usrp/limbo/apps-inband/test_usrp_inband_2tx.cc
 delete mode 100644 usrp/limbo/apps-inband/test_usrp_inband_overrun.cc
 delete mode 100644 usrp/limbo/apps-inband/test_usrp_inband_ping.cc
 delete mode 100644 usrp/limbo/apps-inband/test_usrp_inband_registers.cc
 delete mode 100644 usrp/limbo/apps-inband/test_usrp_inband_rx.cc
 delete mode 100644 usrp/limbo/apps-inband/test_usrp_inband_timestamps.cc
 delete mode 100644 usrp/limbo/apps-inband/test_usrp_inband_tx.cc
 delete mode 100644 usrp/limbo/apps-inband/test_usrp_inband_underrun.cc
 delete mode 100644 usrp/limbo/apps-inband/ui_nco.h
 delete mode 100644 usrp/limbo/apps-inband/ui_sincos.c
 delete mode 100644 usrp/limbo/apps-inband/ui_sincos.h
 delete mode 100644 usrp/limbo/inband/.gitignore
 delete mode 100644 usrp/limbo/inband/Makefile.am
 delete mode 100755 usrp/limbo/inband/dump_packets.py
 delete mode 100755 usrp/limbo/inband/gen_test_packets.py
 delete mode 100644 usrp/limbo/inband/qa_inband.cc
 delete mode 100644 usrp/limbo/inband/qa_inband.h
 delete mode 100644 usrp/limbo/inband/qa_inband_packet_prims.cc
 delete mode 100644 usrp/limbo/inband/qa_inband_packet_prims.h
 delete mode 100644 usrp/limbo/inband/qa_inband_usrp_server.cc
 delete mode 100644 usrp/limbo/inband/qa_inband_usrp_server.h
 delete mode 100644 usrp/limbo/inband/symbols_usrp_channel.h
 delete mode 100644 usrp/limbo/inband/symbols_usrp_interface_cs.h
 delete mode 100644 usrp/limbo/inband/symbols_usrp_low_level_cs.h
 delete mode 100644 usrp/limbo/inband/symbols_usrp_rx.h
 delete mode 100644 usrp/limbo/inband/symbols_usrp_rx_cs.h
 delete mode 100644 usrp/limbo/inband/symbols_usrp_server_cs.h
 delete mode 100644 usrp/limbo/inband/symbols_usrp_tx.h
 delete mode 100644 usrp/limbo/inband/symbols_usrp_tx_cs.h
 delete mode 100644 usrp/limbo/inband/test_inband.cc
 delete mode 100644 usrp/limbo/inband/usb_packet.py
 delete mode 100644 usrp/limbo/inband/usrp_inband_usb_packet.cc
 delete mode 100644 usrp/limbo/inband/usrp_inband_usb_packet.h
 delete mode 100644 usrp/limbo/inband/usrp_interface.mbh
 delete mode 100644 usrp/limbo/inband/usrp_rx.cc
 delete mode 100644 usrp/limbo/inband/usrp_rx.h
 delete mode 100644 usrp/limbo/inband/usrp_rx_stub.cc
 delete mode 100644 usrp/limbo/inband/usrp_rx_stub.h
 delete mode 100644 usrp/limbo/inband/usrp_server.cc
 delete mode 100644 usrp/limbo/inband/usrp_server.h
 delete mode 100644 usrp/limbo/inband/usrp_server.mbh
 delete mode 100644 usrp/limbo/inband/usrp_tx.cc
 delete mode 100644 usrp/limbo/inband/usrp_tx.h
 delete mode 100644 usrp/limbo/inband/usrp_tx_stub.cc
 delete mode 100644 usrp/limbo/inband/usrp_tx_stub.h
 delete mode 100644 usrp/limbo/inband/usrp_usb_interface.cc
 delete mode 100644 usrp/limbo/inband/usrp_usb_interface.h
 create mode 100644 usrp2/firmware/lib/db_bitshark_rx.c
 create mode 100644 usrp2/firmware/lib/db_bitshark_rx.h
 create mode 100644 usrp2/firmware/lib/db_init_xcvr.c
 delete mode 100644 usrp2/fpga/.gitignore
 create mode 100644 usrp2/fpga/README
 delete mode 100644 usrp2/fpga/boot_cpld/.gitignore
 delete mode 100755 usrp2/fpga/boot_cpld/_impact.cmd
 delete mode 100755 usrp2/fpga/boot_cpld/boot_cpld.ipf
 delete mode 100755 usrp2/fpga/boot_cpld/boot_cpld.ise
 delete mode 100755 usrp2/fpga/boot_cpld/boot_cpld.lfp
 delete mode 100755 usrp2/fpga/boot_cpld/boot_cpld.ucf
 delete mode 100755 usrp2/fpga/boot_cpld/boot_cpld.v
 delete mode 100644 usrp2/fpga/control_lib/.gitignore
 delete mode 100644 usrp2/fpga/control_lib/CRC16_D16.v
 delete mode 100644 usrp2/fpga/control_lib/SYSCTRL.sav
 delete mode 100644 usrp2/fpga/control_lib/WB_SIM.sav
 delete mode 100644 usrp2/fpga/control_lib/atr_controller.v
 delete mode 100644 usrp2/fpga/control_lib/bin2gray.v
 delete mode 100644 usrp2/fpga/control_lib/bootrom.mem
 delete mode 100644 usrp2/fpga/control_lib/clock_bootstrap_rom.v
 delete mode 100644 usrp2/fpga/control_lib/clock_control.v
 delete mode 100644 usrp2/fpga/control_lib/clock_control_tb.sav
 delete mode 100644 usrp2/fpga/control_lib/clock_control_tb.v
 delete mode 100644 usrp2/fpga/control_lib/cmdfile
 delete mode 100644 usrp2/fpga/control_lib/dcache.v
 delete mode 100644 usrp2/fpga/control_lib/decoder_3_8.v
 delete mode 100644 usrp2/fpga/control_lib/dpram32.v
 delete mode 100644 usrp2/fpga/control_lib/fifo_tb.v
 delete mode 100644 usrp2/fpga/control_lib/gray2bin.v
 delete mode 100644 usrp2/fpga/control_lib/gray_send.v
 delete mode 100644 usrp2/fpga/control_lib/icache.v
 delete mode 100644 usrp2/fpga/control_lib/longfifo.v
 delete mode 100644 usrp2/fpga/control_lib/medfifo.v
 delete mode 100644 usrp2/fpga/control_lib/mux4.v
 delete mode 100644 usrp2/fpga/control_lib/mux8.v
 delete mode 100644 usrp2/fpga/control_lib/mux_32_4.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/.gitignore
 delete mode 100644 usrp2/fpga/control_lib/newfifo/buffer_int.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/buffer_int_tb.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/buffer_pool.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/buffer_pool_tb.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo19_to_fifo36.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo19_to_ll8.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo36_to_fifo18.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo36_to_fifo19.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo36_to_ll8.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo_2clock.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo_2clock_cascade.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo_cascade.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo_long.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo_new_tb.vcd
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo_short.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo_spec.txt
 delete mode 100644 usrp2/fpga/control_lib/newfifo/fifo_tb.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/ll8_shortfifo.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/ll8_to_fifo19.v
 delete mode 100644 usrp2/fpga/control_lib/newfifo/ll8_to_fifo36.v
 delete mode 100644 usrp2/fpga/control_lib/nsgpio.v
 delete mode 100644 usrp2/fpga/control_lib/oneshot_2clk.v
 delete mode 100644 usrp2/fpga/control_lib/pic.v
 delete mode 100644 usrp2/fpga/control_lib/priority_enc.v
 delete mode 100644 usrp2/fpga/control_lib/ram_2port.v
 delete mode 100644 usrp2/fpga/control_lib/ram_harv_cache.v
 delete mode 100644 usrp2/fpga/control_lib/ram_loader.v
 delete mode 100644 usrp2/fpga/control_lib/ram_wb_harvard.v
 delete mode 100644 usrp2/fpga/control_lib/reset_sync.v
 delete mode 100644 usrp2/fpga/control_lib/sd_spi.v
 delete mode 100644 usrp2/fpga/control_lib/sd_spi_tb.v
 delete mode 100644 usrp2/fpga/control_lib/sd_spi_wb.v
 delete mode 100644 usrp2/fpga/control_lib/setting_reg.v
 delete mode 100644 usrp2/fpga/control_lib/settings_bus.v
 delete mode 100644 usrp2/fpga/control_lib/shortfifo.v
 delete mode 100644 usrp2/fpga/control_lib/simple_uart.v
 delete mode 100644 usrp2/fpga/control_lib/simple_uart_rx.v
 delete mode 100644 usrp2/fpga/control_lib/simple_uart_tx.v
 delete mode 100644 usrp2/fpga/control_lib/spi.v
 delete mode 100644 usrp2/fpga/control_lib/srl.v
 delete mode 100644 usrp2/fpga/control_lib/ss_rcvr.v
 delete mode 100644 usrp2/fpga/control_lib/system_control.v
 delete mode 100644 usrp2/fpga/control_lib/system_control_tb.v
 delete mode 100644 usrp2/fpga/control_lib/traffic_cop.v
 delete mode 100644 usrp2/fpga/control_lib/wb_1master.v
 delete mode 100644 usrp2/fpga/control_lib/wb_bridge_16_32.v
 delete mode 100644 usrp2/fpga/control_lib/wb_bus_writer.v
 delete mode 100644 usrp2/fpga/control_lib/wb_output_pins32.v
 delete mode 100644 usrp2/fpga/control_lib/wb_ram_block.v
 delete mode 100644 usrp2/fpga/control_lib/wb_ram_dist.v
 delete mode 100644 usrp2/fpga/control_lib/wb_readback_mux.v
 delete mode 100644 usrp2/fpga/control_lib/wb_regfile_2clock.v
 delete mode 100644 usrp2/fpga/control_lib/wb_semaphore.v
 delete mode 100644 usrp2/fpga/control_lib/wb_sim.v
 delete mode 100644 usrp2/fpga/coregen/.gitignore
 delete mode 100644 usrp2/fpga/coregen/coregen.cgp
 delete mode 100644 usrp2/fpga/coregen/fifo_generator_release_notes.txt
 delete mode 100644 usrp2/fpga/coregen/fifo_generator_ug175.pdf
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.ngc
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.v
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.veo
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk.xco
 delete mode 100644 
usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_fifo_generator_v4_3_xst_1.lso
 delete mode 100644 
usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_flist.txt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_readme.txt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_16x19_2clk_xmdf.tcl
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.asy
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.ngc
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.sym
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.v
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.veo
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.vhd
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.vho
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk.xco
 delete mode 100644 
usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.lso
 delete mode 100644 
usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_flist.txt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_readme.txt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_2Kx36_2clk_xmdf.tcl
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.asy
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.ngc
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.sym
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.v
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.veo
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.vhd
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.vho
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk.xco
 delete mode 100644 
usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.lso
 delete mode 100644 
usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_flist.txt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_readme.txt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_512x36_2clk_xmdf.tcl
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.ngc
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.v
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.veo
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk.xco
 delete mode 100644 
usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_fifo_generator_v4_3_xst_1.lso
 delete mode 100644 
usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_flist.txt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_readme.txt
 delete mode 100644 usrp2/fpga/coregen/fifo_xlnx_64x36_2clk_xmdf.tcl
 delete mode 100644 usrp2/fpga/extram/.gitignore
 delete mode 100644 usrp2/fpga/extram/extram_interface.v
 delete mode 100644 usrp2/fpga/extram/extram_wb.v
 delete mode 100644 usrp2/fpga/extram/wb_zbt16_b.v
 delete mode 100644 usrp2/fpga/models/BUFG.v
 delete mode 100644 usrp2/fpga/models/CY7C1356C/cy1356.inp
 delete mode 100644 usrp2/fpga/models/CY7C1356C/cy1356.v
 delete mode 100644 usrp2/fpga/models/CY7C1356C/readme.txt
 delete mode 100644 usrp2/fpga/models/CY7C1356C/testbench.v
 delete mode 100644 usrp2/fpga/models/FIFO_GENERATOR_V4_3.v
 delete mode 100644 usrp2/fpga/models/M24LC024B.v
 delete mode 100644 usrp2/fpga/models/M24LC02B.v
 delete mode 100644 usrp2/fpga/models/MULT18X18S.v
 delete mode 100644 usrp2/fpga/models/RAMB16_S36_S36.v
 delete mode 100644 usrp2/fpga/models/SRL16E.v
 delete mode 100644 usrp2/fpga/models/SRLC16E.v
 delete mode 100644 usrp2/fpga/models/adc_model.v
 delete mode 100644 usrp2/fpga/models/cpld_model.v
 delete mode 100644 usrp2/fpga/models/math_real.v
 delete mode 100644 usrp2/fpga/models/miim_model.v
 delete mode 100644 usrp2/fpga/models/phy_sim.v
 delete mode 100644 usrp2/fpga/models/serdes_model.v
 delete mode 100644 usrp2/fpga/models/uart_rx.v
 delete mode 100644 usrp2/fpga/models/xlnx_glbl.v
 delete mode 100644 usrp2/fpga/opencores/8b10b/.gitignore
 delete mode 100644 usrp2/fpga/opencores/8b10b/8b10b_a.mem
 delete mode 100644 usrp2/fpga/opencores/8b10b/README
 delete mode 100644 usrp2/fpga/opencores/8b10b/decode_8b10b.v
 delete mode 100644 usrp2/fpga/opencores/8b10b/encode_8b10b.v
 delete mode 100644 usrp2/fpga/opencores/8b10b/validate_8b10b.v
 delete mode 100644 usrp2/fpga/opencores/README
 delete mode 100644 usrp2/fpga/opencores/aemb/CVS/.gitignore
 delete mode 100644 usrp2/fpga/opencores/aemb/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/aemb/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/aemb/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/aemb/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/aemb/doc/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/aemb/doc/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/aemb/doc/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/aemb/doc/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/aemb/doc/aeMB_datasheet.pdf
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/.gitignore
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_bpcu.v
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_core.v
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_core_BE.v
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_ctrl.v
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_edk32.v
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_ibuf.v
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_regf.v
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_sim.v
 delete mode 100644 usrp2/fpga/opencores/aemb/rtl/verilog/aeMB_xecu.v
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/.gitignore
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/CODE_DEBUG.sav
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/CVS/Template
 delete mode 100755 usrp2/fpga/opencores/aemb/sim/cversim
 delete mode 100755 usrp2/fpga/opencores/aemb/sim/iversim
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/verilog/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/verilog/aemb2.v
 delete mode 100644 usrp2/fpga/opencores/aemb/sim/verilog/edk32.v
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/c/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/c/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/c/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/c/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/c/aeMB_testbench.c
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/c/endian-test.c
 delete mode 100644 usrp2/fpga/opencores/aemb/sw/c/libaemb.h
 delete mode 100755 usrp2/fpga/opencores/aemb/sw/gccrom
 delete mode 100644 usrp2/fpga/opencores/i2c/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/i2c_slave_model.v
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/spi_slave_model.v
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/tst_bench_top.v
 delete mode 100644 usrp2/fpga/opencores/i2c/bench/verilog/wb_master_model.v
 delete mode 100644 usrp2/fpga/opencores/i2c/doc/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/doc/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/doc/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/doc/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/doc/i2c_specs.pdf
 delete mode 100644 usrp2/fpga/opencores/i2c/doc/src/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/doc/src/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/doc/src/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/doc/src/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/doc/src/I2C_specs.doc
 delete mode 100644 usrp2/fpga/opencores/i2c/documentation/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/documentation/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/documentation/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/documentation/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_bit_ctrl.v
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_byte_ctrl.v
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_defines.v
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/i2c_master_top.v
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/verilog/timescale.v
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/I2C.VHD
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/i2c_master_bit_ctrl.vhd
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/i2c_master_byte_ctrl.vhd
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/i2c_master_top.vhd
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/readme
 delete mode 100644 usrp2/fpga/opencores/i2c/rtl/vhdl/tst_ds1621.vhd
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Repository
 delete mode 100644 
usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/INCA_libs/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/bench.vcd
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/ncverilog.key
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/ncverilog.log
 delete mode 100755 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/run
 delete mode 100644 
usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Entries
 delete mode 100644 
usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Root
 delete mode 100644 
usrp2/fpga/opencores/i2c/sim/i2c_verilog/run/waves/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/software/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/software/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/software/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/software/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/software/drivers/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/software/drivers/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/software/drivers/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/software/drivers/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/software/include/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/software/include/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/software/include/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/software/include/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/software/include/oc_i2c_master.h
 delete mode 100644 usrp2/fpga/opencores/i2c/verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/verilog/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/i2c/vhdl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/i2c/vhdl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/i2c/vhdl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/i2c/vhdl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/simple_gpio/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/simple_gpio/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/simple_gpio/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/simple_gpio/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/simple_gpio/rtl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/simple_gpio/rtl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/simple_gpio/rtl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/simple_gpio/rtl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/simple_gpio/rtl/simple_gpio.v
 delete mode 100644 usrp2/fpga/opencores/simple_pic/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/simple_pic/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/simple_pic/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/simple_pic/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/simple_pic/rtl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/simple_pic/rtl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/simple_pic/rtl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/simple_pic/rtl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/simple_pic/rtl/simple_pic.v
 delete mode 100644 usrp2/fpga/opencores/spi/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi/bench/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/bench/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/bench/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/bench/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi/bench/verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/bench/verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/bench/verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/bench/verilog/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi/bench/verilog/spi_slave_model.v
 delete mode 100644 usrp2/fpga/opencores/spi/bench/verilog/tb_spi_top.v
 delete mode 100644 usrp2/fpga/opencores/spi/bench/verilog/wb_master_model.v
 delete mode 100644 usrp2/fpga/opencores/spi/doc/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/doc/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/doc/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/doc/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi/doc/spi.pdf
 delete mode 100644 usrp2/fpga/opencores/spi/doc/src/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/doc/src/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/doc/src/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/doc/src/CVS/Template
 delete mode 100755 usrp2/fpga/opencores/spi/doc/src/spi.doc
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/spi_clgen.v
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/spi_defines.v
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/spi_shift.v
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/spi_top.v
 delete mode 100644 usrp2/fpga/opencores/spi/rtl/verilog/timescale.v
 delete mode 100644 usrp2/fpga/opencores/spi/sim/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/sim/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/sim/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/sim/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/run/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/run/rtl.fl
 delete mode 100755 usrp2/fpga/opencores/spi/sim/rtl_sim/run/run_sim
 delete mode 100644 usrp2/fpga/opencores/spi/sim/rtl_sim/run/sim.fl
 delete mode 100644 usrp2/fpga/opencores/spi/sim/run/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi/sim/run/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi/sim/run/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi/sim/run/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/COMPILE_LIST
 delete mode 100644 usrp2/fpga/opencores/spi_boot/COPYING
 delete mode 100644 usrp2/fpga/opencores/spi_boot/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/KNOWN_BUGS
 delete mode 100644 usrp2/fpga/opencores/spi_boot/README
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/card-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/card.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-full-c.vhd
 delete mode 100644 
usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-minimal-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-mmc-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem-sd-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_elem.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_pack-p.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_rl-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/bench/vhdl/tb_rl.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/spi_boot.pdf
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/spi_boot_schematic.pdf
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/architecture.eps
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/architecture.fig
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/initialization.eps
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/initialization.fig
 delete mode 100644 
usrp2/fpga/opencores/spi_boot/doc/src/memory_organization.eps
 delete mode 100644 
usrp2/fpga/opencores/spi_boot/doc/src/memory_organization.fig
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/spi_boot.sxw
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/transfer.eps
 delete mode 100644 usrp2/fpga/opencores/spi_boot/doc/src/transfer.fig
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-e.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-full-a.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-full-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-minimal-a.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-minimal-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-mmc-a.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-mmc-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-sd-a.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/chip-sd-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/CVS/Template
 delete mode 100644 
usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/ram_loader-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/sample/ram_loader.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_boot-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_boot.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_boot_pack-p.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_counter-c.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/rtl/vhdl/spi_counter.vhd
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sim/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sim/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sim/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sim/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sim/rtl_sim/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sim/rtl_sim/Makefile
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sw/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sw/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sw/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sw/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sw/misc/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/spi_boot/sw/misc/bit_reverse.c
 delete mode 100644 usrp2/fpga/opencores/wb_zbt/CVS/Entries
 delete mode 100644 usrp2/fpga/opencores/wb_zbt/CVS/Repository
 delete mode 100644 usrp2/fpga/opencores/wb_zbt/CVS/Root
 delete mode 100644 usrp2/fpga/opencores/wb_zbt/CVS/Template
 delete mode 100644 usrp2/fpga/opencores/wb_zbt/wb_zbt.v
 delete mode 100644 usrp2/fpga/sdr_lib/.gitignore
 delete mode 100644 usrp2/fpga/sdr_lib/HB.sav
 delete mode 100644 usrp2/fpga/sdr_lib/SMALL_HB.sav
 delete mode 100644 usrp2/fpga/sdr_lib/acc.v
 delete mode 100644 usrp2/fpga/sdr_lib/add2.v
 delete mode 100644 usrp2/fpga/sdr_lib/add2_and_round.v
 delete mode 100644 usrp2/fpga/sdr_lib/add2_and_round_reg.v
 delete mode 100644 usrp2/fpga/sdr_lib/add2_reg.v
 delete mode 100644 usrp2/fpga/sdr_lib/cic_dec_shifter.v
 delete mode 100755 usrp2/fpga/sdr_lib/cic_decim.v
 delete mode 100644 usrp2/fpga/sdr_lib/cic_int_shifter.v
 delete mode 100755 usrp2/fpga/sdr_lib/cic_interp.v
 delete mode 100644 usrp2/fpga/sdr_lib/cic_strober.v
 delete mode 100644 usrp2/fpga/sdr_lib/clip.v
 delete mode 100644 usrp2/fpga/sdr_lib/clip_and_round.v
 delete mode 100644 usrp2/fpga/sdr_lib/clip_and_round_reg.v
 delete mode 100644 usrp2/fpga/sdr_lib/clip_reg.v
 delete mode 100755 usrp2/fpga/sdr_lib/cordic.v
 delete mode 100755 usrp2/fpga/sdr_lib/cordic_stage.v
 delete mode 100644 usrp2/fpga/sdr_lib/cordic_z24.v
 delete mode 100755 usrp2/fpga/sdr_lib/ddc.v
 delete mode 100644 usrp2/fpga/sdr_lib/dsp_core_rx.v
 delete mode 100644 usrp2/fpga/sdr_lib/dsp_core_tx.v
 delete mode 100755 usrp2/fpga/sdr_lib/duc.v
 delete mode 100644 usrp2/fpga/sdr_lib/dummy_rx.v
 delete mode 100755 usrp2/fpga/sdr_lib/gen_cordic_consts.py
 delete mode 100644 usrp2/fpga/sdr_lib/halfband_ideal.v
 delete mode 100644 usrp2/fpga/sdr_lib/halfband_tb.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/acc.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/coeff_ram.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/coeff_rom.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/halfband_decim.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/halfband_interp.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/hbd_tb/HBD
 delete mode 100644 usrp2/fpga/sdr_lib/hb/hbd_tb/really_golden
 delete mode 100644 usrp2/fpga/sdr_lib/hb/hbd_tb/regression
 delete mode 100755 usrp2/fpga/sdr_lib/hb/hbd_tb/run_hbd
 delete mode 100644 usrp2/fpga/sdr_lib/hb/hbd_tb/test_hbd.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/mac.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/mult.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/ram16_2port.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/ram16_2sum.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb/ram32_2sum.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb_dec.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb_dec_tb.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb_interp.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb_interp_tb.v
 delete mode 100644 usrp2/fpga/sdr_lib/hb_tb.v
 delete mode 100644 usrp2/fpga/sdr_lib/input.dat
 delete mode 100644 usrp2/fpga/sdr_lib/integrate.v
 delete mode 100644 usrp2/fpga/sdr_lib/med_hb_int.v
 delete mode 100644 usrp2/fpga/sdr_lib/output.dat
 delete mode 100644 usrp2/fpga/sdr_lib/round.v
 delete mode 100644 usrp2/fpga/sdr_lib/round_reg.v
 delete mode 100644 usrp2/fpga/sdr_lib/rssi.v
 delete mode 100644 usrp2/fpga/sdr_lib/rx_control.v
 delete mode 100644 usrp2/fpga/sdr_lib/rx_dcoffset.v
 delete mode 100644 usrp2/fpga/sdr_lib/rx_dcoffset_tb.v
 delete mode 100644 usrp2/fpga/sdr_lib/sign_extend.v
 delete mode 100644 usrp2/fpga/sdr_lib/small_hb_dec.v
 delete mode 100644 usrp2/fpga/sdr_lib/small_hb_dec_tb.v
 delete mode 100644 usrp2/fpga/sdr_lib/small_hb_int.v
 delete mode 100644 usrp2/fpga/sdr_lib/small_hb_int_tb.v
 delete mode 100644 usrp2/fpga/sdr_lib/tx_control.v
 delete mode 100644 usrp2/fpga/serdes/serdes.v
 delete mode 100644 usrp2/fpga/serdes/serdes_fc_rx.v
 delete mode 100644 usrp2/fpga/serdes/serdes_fc_tx.v
 delete mode 100644 usrp2/fpga/serdes/serdes_rx.v
 delete mode 100644 usrp2/fpga/serdes/serdes_tb.v
 delete mode 100644 usrp2/fpga/serdes/serdes_tx.v
 delete mode 100644 usrp2/fpga/simple_gemac/.gitignore
 delete mode 100644 usrp2/fpga/simple_gemac/address_filter.v
 delete mode 100644 usrp2/fpga/simple_gemac/crc.v
 delete mode 100644 usrp2/fpga/simple_gemac/delay_line.v
 delete mode 100644 usrp2/fpga/simple_gemac/eth_tasks.v
 delete mode 100644 usrp2/fpga/simple_gemac/eth_tasks_f36.v
 delete mode 100644 usrp2/fpga/simple_gemac/flow_ctrl_rx.v
 delete mode 100644 usrp2/fpga/simple_gemac/flow_ctrl_tx.v
 delete mode 100644 usrp2/fpga/simple_gemac/ll8_shortfifo.v
 delete mode 100644 usrp2/fpga/simple_gemac/ll8_to_txmac.v
 delete mode 100644 usrp2/fpga/simple_gemac/miim/eth_clockgen.v
 delete mode 100644 usrp2/fpga/simple_gemac/miim/eth_miim.v
 delete mode 100644 usrp2/fpga/simple_gemac/miim/eth_outputcontrol.v
 delete mode 100644 usrp2/fpga/simple_gemac/miim/eth_shiftreg.v
 delete mode 100644 usrp2/fpga/simple_gemac/rxmac_to_ll8.v
 delete mode 100644 usrp2/fpga/simple_gemac/simple_gemac.v
 delete mode 100644 usrp2/fpga/simple_gemac/simple_gemac_rx.v
 delete mode 100644 usrp2/fpga/simple_gemac/simple_gemac_tb.v
 delete mode 100644 usrp2/fpga/simple_gemac/simple_gemac_tx.v
 delete mode 100644 usrp2/fpga/simple_gemac/simple_gemac_wb.v
 delete mode 100755 usrp2/fpga/simple_gemac/simple_gemac_wrapper.build
 delete mode 100644 usrp2/fpga/simple_gemac/simple_gemac_wrapper.v
 delete mode 100644 usrp2/fpga/simple_gemac/simple_gemac_wrapper_f36_tb.v
 delete mode 100644 usrp2/fpga/simple_gemac/simple_gemac_wrapper_tb.v
 delete mode 100644 usrp2/fpga/simple_gemac/test_packet.mem
 delete mode 100644 usrp2/fpga/testbench/.gitignore
 delete mode 100644 usrp2/fpga/testbench/BOOTSTRAP.sav
 delete mode 100644 usrp2/fpga/testbench/Makefile
 delete mode 100644 usrp2/fpga/testbench/PAUSE.sav
 delete mode 100644 usrp2/fpga/testbench/README
 delete mode 100644 usrp2/fpga/testbench/SERDES.sav
 delete mode 100644 usrp2/fpga/testbench/U2_SIM.sav
 delete mode 100644 usrp2/fpga/testbench/cmdfile
 delete mode 100644 usrp2/fpga/timing/.gitignore
 delete mode 100644 usrp2/fpga/timing/time_64bit.v
 delete mode 100644 usrp2/fpga/timing/time_receiver.v
 delete mode 100644 usrp2/fpga/timing/time_sender.v
 delete mode 100644 usrp2/fpga/timing/time_sync.v
 delete mode 100644 usrp2/fpga/timing/time_transfer_tb.v
 delete mode 100644 usrp2/fpga/timing/timer.v
 delete mode 100644 usrp2/fpga/top/.gitignore
 delete mode 100644 usrp2/fpga/top/eth_test/.gitignore
 delete mode 100644 usrp2/fpga/top/eth_test/eth_sim_top.v
 delete mode 100644 usrp2/fpga/top/eth_test/eth_tb.v
 delete mode 100644 usrp2/fpga/top/single_u2_sim/single_u2_sim.v
 delete mode 100644 usrp2/fpga/top/tcl/ise_helper.tcl
 delete mode 100644 usrp2/fpga/top/u2_core/.gitignore
 delete mode 100755 usrp2/fpga/top/u2_core/u2_core.v
 delete mode 100644 usrp2/fpga/top/u2_rev1/.gitignore
 delete mode 100644 usrp2/fpga/top/u2_rev1/Makefile
 delete mode 100644 usrp2/fpga/top/u2_rev1/u2_fpga.ise
 delete mode 100755 usrp2/fpga/top/u2_rev1/u2_fpga.ucf
 delete mode 100644 usrp2/fpga/top/u2_rev1/u2_fpga_top.prj
 delete mode 100644 usrp2/fpga/top/u2_rev1/u2_fpga_top.v
 delete mode 100644 usrp2/fpga/top/u2_rev2/.gitignore
 delete mode 100644 usrp2/fpga/top/u2_rev2/Makefile
 delete mode 100644 usrp2/fpga/top/u2_rev2/u2_rev2.ucf
 delete mode 100644 usrp2/fpga/top/u2_rev2/u2_rev2.v
 delete mode 100644 usrp2/fpga/top/u2_rev3/.gitignore
 delete mode 100644 usrp2/fpga/top/u2_rev3/Makefile
 delete mode 100644 usrp2/fpga/top/u2_rev3/u2_rev3.ucf
 delete mode 100644 usrp2/fpga/top/u2_rev3/u2_rev3.v
 delete mode 100644 usrp2/fpga/top/u2_rev3_2rx_iad/Makefile
 delete mode 100644 usrp2/fpga/top/u2_rev3_2rx_iad/README
 delete mode 100644 usrp2/fpga/top/u2_rev3_2rx_iad/cmdfile
 delete mode 100644 usrp2/fpga/top/u2_rev3_2rx_iad/dsp_core_rx.v
 delete mode 100644 usrp2/fpga/top/u2_rev3_2rx_iad/dsp_core_tb.sav
 delete mode 100644 usrp2/fpga/top/u2_rev3_2rx_iad/dsp_core_tb.v
 delete mode 100644 usrp2/fpga/top/u2_rev3_2rx_iad/impulse.v
 delete mode 100755 usrp2/fpga/top/u2_rev3_2rx_iad/u2_core.v
 delete mode 100755 usrp2/fpga/top/u2_rev3_2rx_iad/wave.sh
 delete mode 100644 usrp2/fpga/top/u2_rev3_iad/.gitignore
 delete mode 100644 usrp2/fpga/top/u2_rev3_iad/Makefile
 delete mode 100644 usrp2/fpga/top/u2_rev3_iad/cmdfile
 delete mode 100644 usrp2/fpga/top/u2_rev3_iad/dsp_core_rx.v
 delete mode 100644 usrp2/fpga/top/u2_rev3_iad/dsp_core_tb.sav
 delete mode 100644 usrp2/fpga/top/u2_rev3_iad/dsp_core_tb.v
 delete mode 100644 usrp2/fpga/top/u2_rev3_iad/impulse.v
 delete mode 100755 usrp2/fpga/top/u2_rev3_iad/wave.sh
 delete mode 100755 usrp2/fpga/top/u2plus/u2plus.ucf
 delete mode 100644 usrp2/fpga/top/u2plus/u2plus.v
 delete mode 100644 usrp2/host/lib/usrp2_thread.cc
 delete mode 100644 usrp2/host/lib/usrp2_thread.h
 delete mode 100644 vrt/.gitignore
 delete mode 100644 vrt/Makefile.am
 delete mode 100644 vrt/apps/.gitignore
 delete mode 100644 vrt/apps/Makefile.am
 delete mode 100644 vrt/include/Makefile.am
 delete mode 100644 vrt/include/vrt/Makefile.am
 delete mode 100644 vrt/include/vrt/bits.h
 delete mode 100644 vrt/include/vrt/copiers.h
 delete mode 100644 vrt/include/vrt/expanded_header.h
 delete mode 100644 vrt/include/vrt/rx.h
 delete mode 100644 vrt/include/vrt/rx_packet_handler.h
 delete mode 100644 vrt/include/vrt/types.h
 delete mode 100644 vrt/lib/.gitignore
 delete mode 100644 vrt/lib/Makefile.am
 delete mode 100644 vrt/lib/copiers.cc
 delete mode 100644 vrt/lib/data_handler.cc
 delete mode 100644 vrt/lib/data_handler.h
 delete mode 100644 vrt/lib/expanded_header.cc
 delete mode 100644 vrt/lib/expanded_header_parse_switch_body.h
 delete mode 100644 vrt/lib/expanded_header_unparse_switch_body.h
 delete mode 100755 vrt/lib/gen_parse_switch_body.py
 delete mode 100755 vrt/lib/gen_unparse_switch_body.py
 delete mode 100644 vrt/lib/rx.cc
 delete mode 100644 vrt/lib/rx_packet_handler.cc
 delete mode 100644 vrt/lib/socket_rx_buffer.cc
 delete mode 100644 vrt/lib/socket_rx_buffer.h
 delete mode 100644 vrt/vrt.pc.in


hooks/post-receive
-- 
git://gnuradio.org/ets



reply via email to

[Prev in Thread] Current Thread [Next in Thread]