commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] git://gnuradio.org/jblum branch, usrp2_vrt, updated. 7


From: git repository hosting
Subject: [Commit-gnuradio] git://gnuradio.org/jblum branch, usrp2_vrt, updated. 74087d160930ebcc964232c0e86da4a558c20dc2
Date: Tue, 19 Jan 2010 04:05:00 +0000 (GMT)

This is an automated email from the git hooks/post-receive script. It was
generated because a ref change was pushed to the repository containing
the project "git://gnuradio.org/jblum".

The branch, usrp2_vrt has been updated
       via  74087d160930ebcc964232c0e86da4a558c20dc2 (commit)
       via  72fa5046277a3d25a3c1a6b0b1d15f92b4ba135b (commit)
       via  8323aa565e9f5a7bfcb1f1483a90b5d63688e842 (commit)
       via  27cc02acac2638e26496039a34872c3b5ef94fe1 (commit)
       via  557ae0a06d51e9f872984719fab4caeb09e47911 (commit)
      from  5405fa6fba7bc2ceabab9f81ccf0f72885d5b407 (commit)

Those revisions listed above that are new to this repository have
not appeared on any other notification email; so we list those
revisions in full, below.

- Log -----------------------------------------------------------------
commit 74087d160930ebcc964232c0e86da4a558c20dc2
Author: Josh Blum <address@hidden>
Date:   Mon Jan 18 20:02:04 2010 -0800

    Added set time and set time at next pps.
    Removed the old sync pps commands, they dont make sense to use anymore.
    
    Replaced the mimo config with clock config.
    The clock config handles the pps and the reference.
    
    Modified the memory map and internal calls to reflect the fpga changes.

commit 72fa5046277a3d25a3c1a6b0b1d15f92b4ba135b
Author: Matt Ettus <address@hidden>
Date:   Mon Jan 18 18:01:45 2010 -0800

    moved around regs, added a bit to allow for alternate PPS source

commit 8323aa565e9f5a7bfcb1f1483a90b5d63688e842
Author: Matt Ettus <address@hidden>
Date:   Mon Jan 18 17:56:55 2010 -0800

    remove time_sync and master_timer.
    
    Master timer replaced with simple_timer which needs new memory map and 
control
    functions.  it allows onetime and periodic interrupts.  Copied from 
quad_radio
    
    time_sync functionality will go in time_64bit.  Right now it only does
    external SMA connector, not mimo connector

commit 27cc02acac2638e26496039a34872c3b5ef94fe1
Author: Matt Ettus <address@hidden>
Date:   Mon Jan 18 16:08:20 2010 -0800

    allow setting time immediately in cases where there is no external pps input

commit 557ae0a06d51e9f872984719fab4caeb09e47911
Author: Matt Ettus <address@hidden>
Date:   Mon Jan 18 16:07:48 2010 -0800

    allow processor to read back vrt time over readback mux

-----------------------------------------------------------------------

Summary of changes:
 usrp2/firmware/apps/Makefile.am                    |    4 +-
 usrp2/firmware/apps/app_common_v2.c                |   73 +++++--------
 usrp2/firmware/apps/blink_leds2.c                  |    2 +-
 usrp2/firmware/apps/test_phy_comm.c                |    2 +-
 usrp2/firmware/apps/timer_test.c                   |   12 +--
 usrp2/firmware/include/Makefile.am                 |    4 +-
 .../{usrp2_mimo_config.h => usrp2_clock_bits.h}    |   15 ++-
 usrp2/firmware/include/usrp2_eth_packet.h          |   77 +++++----------
 usrp2/firmware/lib/clocks.c                        |    4 -
 usrp2/firmware/lib/clocks.h                        |    4 +-
 usrp2/firmware/lib/hal_io.h                        |    5 +-
 usrp2/firmware/lib/memory_map.h                    |   81 ++++++----------
 usrp2/firmware/lib/pic.c                           |    2 +-
 usrp2/fpga/timing/simple_timer.v                   |   60 +++++++++++
 usrp2/fpga/timing/time_64bit.v                     |   17 ++-
 usrp2/fpga/top/u2_core/u2_core.v                   |   49 +++++-----
 usrp2/fpga/top/u2_rev3/Makefile                    |    3 +-
 usrp2/include/usrp2/Makefile.am                    |    2 +-
 usrp2/include/usrp2/clock_config.h                 |   62 ++++++++++++
 usrp2/include/usrp2/mimo_config.h                  |   50 ---------
 usrp2/include/usrp2/usrp2.h                        |   53 ++++++----
 usrp2/lib/control.h                                |    9 ++-
 usrp2/lib/usrp2.cc                                 |   14 ++--
 usrp2/lib/usrp2_impl.cc                            |  106 +++++++++++++------
 usrp2/lib/usrp2_impl.h                             |    8 +-
 25 files changed, 391 insertions(+), 327 deletions(-)
 rename usrp2/firmware/include/{usrp2_mimo_config.h => usrp2_clock_bits.h} (78%)
 create mode 100644 usrp2/fpga/timing/simple_timer.v
 create mode 100644 usrp2/include/usrp2/clock_config.h
 delete mode 100644 usrp2/include/usrp2/mimo_config.h


hooks/post-receive
-- 
git://gnuradio.org/jblum




reply via email to

[Prev in Thread] Current Thread [Next in Thread]