commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r11663 - in gnuradio/branches/developers/ets/inband/us


From: ets
Subject: [Commit-gnuradio] r11663 - in gnuradio/branches/developers/ets/inband/usrp/fpga: inband_lib toplevel/usrp_inband_usb
Date: Thu, 12 Nov 2009 21:23:37 -0700 (MST)

Author: ets
Date: 2009-11-12 21:23:36 -0700 (Thu, 12 Nov 2009)
New Revision: 11663

Modified:
   gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib/cmd_reader.v
   
gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib/rx_buffer_inband.v
   
gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib/tx_buffer_inband.v
   
gnuradio/branches/developers/ets/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf
   
gnuradio/branches/developers/ets/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
Log:
Fixed non-standard syntax on rx_buffer_inband.v generate.  (Would not compile 
with Quartus 9.1)  Added echoing of last command tag to output data channels 
for testing.

Modified: 
gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib/cmd_reader.v
===================================================================
--- gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib/cmd_reader.v   
2009-11-11 19:21:54 UTC (rev 11662)
+++ gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib/cmd_reader.v   
2009-11-13 04:23:36 UTC (rev 11663)
@@ -17,6 +17,7 @@
     output reg                         rx_WR, 
     output reg                         rx_WR_done,
     output reg                 tx_dropped_packet,
+    output reg [3:0]   tx_tag,
     
     //register io
     input wire [31:0]  reg_data_out, 
@@ -49,7 +50,16 @@
    `define OP_READ_REG_REPLY                8'd5
    `define OP_DELAY                         8'd12
        
-   reg [6:0]   payload;
+
+   `define JITTER                           5
+   `define OP_CODE                          31:24
+   `define PAYLOAD                          8:2
+
+   reg [15:0]   header_val;
+   wire [6:0]  payload;
+   
+   assign payload = header_val[`PAYLOAD];      //7 MSB of length
+
    reg [6:0]   payload_read;
    reg [3:0]   state;
    reg [15:0]  high;
@@ -64,10 +74,6 @@
 
    reg [31:0]  time_delta;     //for packet time calculations
    
-   `define JITTER                           5
-   `define OP_CODE                          31:24
-   `define PAYLOAD                          8:2
-
        wire time_match;
        wire time_valid;
        
@@ -120,7 +126,7 @@
           
           HEADER : 
             begin
-              payload <= fifodata[`PAYLOAD];
+              header_val <= fifodata;
               state <= TIMESTAMP;
             end
           
@@ -133,9 +139,10 @@
                        
           WAIT : 
             begin
-                               if (time_match || (value0 == 32'hffffffff))
+                               if (time_match || (value0 == 32'hffffffff)) 
begin
                                        state <= TEST;
-                                       //TODO: echo tag field to rx buffer as 
feedback of command execution
+                                       tx_tag <= header_val[`TAG];  //Echo tag 
field to rx buffer as feedback of command execution
+                               end
                                else if (!time_valid) begin
                                        state <= IDLE;
                                        skip <= 1;

Modified: 
gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib/rx_buffer_inband.v
===================================================================
--- 
gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib/rx_buffer_inband.v 
    2009-11-11 19:21:54 UTC (rev 11662)
+++ 
gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib/rx_buffer_inband.v 
    2009-11-13 04:23:36 UTC (rev 11663)
@@ -40,6 +40,7 @@
 // TODO: handle single channel in dual chan config (channels input)
 // TODO: Refactor.  This interface was kept from the original version.
 
+`include "inband_packet_defs.v"
 
 module rx_buffer_inband 
 #(
@@ -91,6 +92,8 @@
        input [15:0]            rx_databus,
        input [1:0]             tx_underrun,
        input                           tx_dropped_packet,
+    input [3:0]                        tx_tag,
+
        output                          rx_WR_enabled
 
        /////////////////////
@@ -255,7 +258,7 @@
                        .CD_FIFO_SIZE   ( CHAN_FIFO_SIZE        ),
                        .CD_FIFO_SZ_L2  ( CHAN_FIFO_SZ_L2       )
                )
-               chan_buf[i]
+               chan_buf
                (
                        .reset                  ( reset                         
                ),
                        
@@ -308,7 +311,7 @@
                        .CD_FIFO_SIZE   ( CMND_FIFO_SIZE        ),
                        .CD_FIFO_SZ_L2  ( CMND_FIFO_SZ_L2       )
                )
-               chan_buf[0]
+               chan_buf_ctl
                (
                        .reset                  ( reset                         
                ),
                        
@@ -392,7 +395,7 @@
                .end_burst                      ( 
mux_header_data[`CB_BURST_END]        ),
                .rssi                           ( mux_header_data[`CB_RSSI]     
                ),
                .chan_number            ( mux_header_data[`CB_CHAN]             
        ),
-               .tag                            ( mux_header_data[`CB_TAG]      
                ),
+               .tag                            ( tx_tag                        
                                ),
                .payload_length         ( mux_header_data[`CB_PAYLOAD_LEN]      
),
                .timestamp                      ( 
mux_header_data[`CB_TIMESTAMP]        ),
 

Modified: 
gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib/tx_buffer_inband.v
===================================================================
--- 
gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib/tx_buffer_inband.v 
    2009-11-11 19:21:54 UTC (rev 11662)
+++ 
gnuradio/branches/developers/ets/inband/usrp/fpga/inband_lib/tx_buffer_inband.v 
    2009-11-13 04:23:36 UTC (rev 11663)
@@ -13,12 +13,13 @@
     input wire clear_status, output wire tx_empty, output wire [15:0] 
debugbus, 
     
     //command reader io
-    input wire rx_WR_enabled,
-    output wire [15:0] rx_databus, 
-    output wire rx_WR, 
-    output wire rx_WR_done, 
-    output wire tx_dropped_packet,
-    
+    input wire                 rx_WR_enabled,
+    output wire [15:0] rx_databus, 
+    output wire                rx_WR, 
+    output wire                rx_WR_done, 
+    output wire                tx_dropped_packet,
+    output wire [3:0]  tx_tag,
+
     //register io 
     output wire [1:0] reg_io_enable, output wire [31:0] reg_data_in, output 
wire [6:0] reg_addr,
     input wire [31:0] reg_data_out,  
@@ -37,7 +38,7 @@
    /* To generate channel readers */
    genvar i ;
     
-   /* These will eventually be external register */
+   /* These will eventually be external registers */
    wire                 [7:0]  txstrobe_rate [NUM_CHAN-1:0] ;
    wire                                [31:0] rssi [3:0];
    assign rssi[0] = rssi_0;
@@ -141,7 +142,8 @@
     .reg_data_in(reg_data_in), .reg_data_out(reg_data_out), 
.reg_addr(reg_addr),
     .reg_io_enable(reg_io_enable), .debug(debug[NUM_CHAN]), .stop(stop), 
.stop_time(stop_time),
     
-    .tx_dropped_packet(tx_dropped_packet)
+    .tx_dropped_packet(tx_dropped_packet),
+    .tx_tag(tx_tag)
     );
                                   
 endmodule // tx_buffer

Modified: 
gnuradio/branches/developers/ets/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf
===================================================================
--- 
gnuradio/branches/developers/ets/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf
      2009-11-11 19:21:54 UTC (rev 11662)
+++ 
gnuradio/branches/developers/ets/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf
      2009-11-13 04:23:36 UTC (rev 11663)
@@ -27,7 +27,7 @@
 # ========================
 set_global_assignment -name ORIGINAL_QUARTUS_VERSION 3.0
 set_global_assignment -name PROJECT_CREATION_TIME_DATE "00:14:04  JULY 13, 
2003"
-set_global_assignment -name LAST_QUARTUS_VERSION "8.0 SP1"
+set_global_assignment -name LAST_QUARTUS_VERSION 9.1
 
 # Pin & Location Assignments
 # ==========================
@@ -277,7 +277,6 @@
 set_global_assignment -name CLK_CAT OFF
 set_global_assignment -name CLK_RULE_COMB_CLOCK OFF
 set_global_assignment -name CLK_RULE_INV_CLOCK OFF
-set_global_assignment -name CLK_RULE_GATING_SCHEME OFF
 set_global_assignment -name CLK_RULE_INPINS_CLKNET OFF
 set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES OFF
 set_global_assignment -name CLK_RULE_MIX_EDGES OFF
@@ -365,7 +364,6 @@
 # --------------------
 
 
-set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | 
-section_id Top
 set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
 set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN "100 ps"
 set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
@@ -432,4 +430,7 @@
 set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING 
ON
 set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA ON
 set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA ON
-set_global_assignment -name VERILOG_FILE ../../inband_lib/time_comparator.v
\ No newline at end of file
+set_global_assignment -name VERILOG_FILE ../../inband_lib/time_comparator.v
+set_global_assignment -name VERILOG_INPUT_VERSION VERILOG_2001
+set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF
+set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | 
-section_id Top
\ No newline at end of file

Modified: 
gnuradio/branches/developers/ets/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
===================================================================
--- 
gnuradio/branches/developers/ets/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
        2009-11-11 19:21:54 UTC (rev 11662)
+++ 
gnuradio/branches/developers/ets/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
        2009-11-13 04:23:36 UTC (rev 11663)
@@ -133,7 +133,8 @@
    wire                rx_WR_done;
    wire                rx_WR_enabled;
    wire                        tx_dropped_packet;
-   
+   wire [3:0]  tx_tag;
+
    
////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
    // Transmit Side
 `ifdef TX_ON
@@ -175,7 +176,8 @@
        .rssi_3(rssi_3), .threshhold(rssi_threshhold), .rssi_wait(rssi_wait),
           .stop(stop), .stop_time(stop_time),
 
-          .tx_dropped_packet(tx_dropped_packet)
+          .tx_dropped_packet(tx_dropped_packet),
+          .tx_tag(tx_tag)
          );
 
   `ifdef TX_DUAL
@@ -281,7 +283,8 @@
                               
.ddc3_in_i(ddc3_in_i),.ddc3_in_q(ddc3_in_q),.rx_numchan(rx_numchan));
  
    `ifdef RX_IN_BAND
-   rx_buffer_inband #(.NUM_CHAN(`RX_CAP_NCHAN)) rx_buffer
+//   rx_buffer_inband #(.NUM_CHAN(`RX_CAP_NCHAN)) rx_buffer
+   rx_buffer_inband #(.NUM_CHAN(1)) rx_buffer
      ( .usbclk(usbclk),.bus_reset(rx_bus_reset),.reset(rx_dsp_reset),
        .reset_regs(rx_dsp_reset),
        
.usbdata(usbdata_out),.RD(RD),.have_pkt_rdy(have_pkt_rdy),.rx_overrun(rx_overrun),
@@ -301,7 +304,8 @@
           .rssi_0(rssi_0), .rssi_1(rssi_1), .rssi_2(rssi_2), .rssi_3(rssi_3),
           .tx_underrun(tx_underrun),
 
-          .tx_dropped_packet(tx_dropped_packet)
+          .tx_dropped_packet(tx_dropped_packet),
+          .tx_tag(tx_tag)
          );
 
    `else





reply via email to

[Prev in Thread] Current Thread [Next in Thread]