commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r11644 - usrp-hw/trunk/sym/generated


From: jblum
Subject: [Commit-gnuradio] r11644 - usrp-hw/trunk/sym/generated
Date: Tue, 13 Oct 2009 14:23:37 -0600 (MDT)

Author: jblum
Date: 2009-10-13 14:23:37 -0600 (Tue, 13 Oct 2009)
New Revision: 11644

Added:
   usrp-hw/trunk/sym/generated/ad9522-CLK.src
   usrp-hw/trunk/sym/generated/ad9522-CTRL.src
   usrp-hw/trunk/sym/generated/ad9522-PWR.src
Modified:
   usrp-hw/trunk/sym/generated/Makefile
Log:
added symbol for ad9522

Modified: usrp-hw/trunk/sym/generated/Makefile
===================================================================
--- usrp-hw/trunk/sym/generated/Makefile        2009-10-12 16:35:54 UTC (rev 
11643)
+++ usrp-hw/trunk/sym/generated/Makefile        2009-10-13 20:23:37 UTC (rev 
11644)
@@ -40,6 +40,9 @@
        dac5688-CH2.sym \
        dac5688-CTRL.sym \
        dac5688-PWR.sym \
+       ad9522-CLK.sym \
+       ad9522-CTRL.sym \
+       ad9522-PWR.sym \
        ad986X-ACTRL.sym \
        ad986X-AUX.sym \
        ad986X-CLK.sym \

Added: usrp-hw/trunk/sym/generated/ad9522-CLK.src
===================================================================
--- usrp-hw/trunk/sym/generated/ad9522-CLK.src                          (rev 0)
+++ usrp-hw/trunk/sym/generated/ad9522-CLK.src  2009-10-13 20:23:37 UTC (rev 
11644)
@@ -0,0 +1,92 @@
+# This is the template file for creating symbols with tragesym
+# every line starting with '#' is a comment line.
+
+[options]
+# rotate_labels rotates the pintext of top and bottom pins
+# wordswap swaps labels if the pin is on the right side an looks like this:
+# "PB1 (CLK)"
+wordswap=yes
+rotate_labels=yes
+sort_labels=no
+generate_pinseq=yes
+sym_width=3000
+pinwidthvertikal=400
+pinwidthhorizontal=400
+
+[geda_attr]
+# name will be printed in the top of the symbol
+# if you have a device with slots, you'll have to use slot= and slotdef=
+# use comment= if there are special information you want to add
+version=XXXX
+name=AD9522-CLK
+device=AD9522-4BPCZ
+refdes=U?
+footprint=CP-64-4
+description=Clock Generator
+documentation=http://www.analog.com/en/clock-and-timing/clock-generation-and-distribution/ad9522-4/products/product.html
+author=jblum
+numslots=0
+#slot=1
+#slotdef=1:
+#slotdef=2:
+#slotdef=3:
+#slotdef=4:
+#comment=
+#comment=
+
+[pins]
+# tabseparated list of pin descriptions
+# pinnr is the physical number of the pin
+# seq is the pinseq= attribute, leave it blank if it doesn't matter
+# type can be (in, out, io, oc, oe, pas, tp, tri, clk, pwr)
+# style can be (line,dot,clk,dotclk,none). none if only want to add a net
+# posit. can be (l,r,t,b) or empty for nets
+# net specifies the name of the Vcc or GND name
+# label represents the pinlabel. 
+#      negation lines can be added with _Q_ 
+#      if you want to add a "_" or "\" use "\_" and "\\" as escape sequences
+#-----------------------------------------------------
+#pinnr seq     type    style   posit.  net     label   
+#-----------------------------------------------------
+9              in      line    l               LF
+64             in      line    l               REFIN (REF1)
+63             in      line    l               \_REFIN\_ (REF2)
+13             in      line    l               CLK
+14             in      line    l               \_CLK\_
+10             out     line    r               BYPASS
+5              out     line    r               CP
+56             out     line    r               OUT0 (OUT0A)
+55             out     line    r               \_OUT0\_ (OUT0B)
+53             out     line    r               OUT1 (OUT1A)
+52             out     line    r               \_OUT1\_ (OUT1B)
+51             out     line    r               OUT2 (OUT2A)
+50             out     line    r               \_OUT2\_ (OUT2B)
+48             out     line    r               OUT3 (OUT3A)
+47             out     line    r               \_OUT3\_ (OUT3B)
+45             out     line    r               OUT4 (OUT4A)
+44             out     line    r               \_OUT4\_ (OUT4B)
+43             out     line    r               OUT5 (OUT5A)
+42             out     line    r               \_OUT5\_ (OUT5B)
+33             out     line    r               OUT6 (OUT6A)
+34             out     line    r               \_OUT6\_ (OUT6B)
+36             out     line    r               OUT7 (OUT7A)
+37             out     line    r               \_OUT7\_ (OUT7B)
+38             out     line    r               OUT8 (OUT8A)
+39             out     line    r               \_OUT8\_ (OUT8B)
+25             out     line    r               OUT9 (OUT9A)
+26             out     line    r               \_OUT9\_ (OUT9B)
+28             out     line    r               OUT10 (OUT10A)
+29             out     line    r               \_OUT10\_ (OUT10B)
+30             out     line    r               OUT11 (OUT11A)
+31             out     line    r               \_OUT11\_ (OUT11B)
+58             out     line    b               RSET
+62             out     line    b               CPRSET
+
+
+
+
+
+
+
+
+

Added: usrp-hw/trunk/sym/generated/ad9522-CTRL.src
===================================================================
--- usrp-hw/trunk/sym/generated/ad9522-CTRL.src                         (rev 0)
+++ usrp-hw/trunk/sym/generated/ad9522-CTRL.src 2009-10-13 20:23:37 UTC (rev 
11644)
@@ -0,0 +1,71 @@
+# This is the template file for creating symbols with tragesym
+# every line starting with '#' is a comment line.
+
+[options]
+# rotate_labels rotates the pintext of top and bottom pins
+# wordswap swaps labels if the pin is on the right side an looks like this:
+# "PB1 (CLK)"
+wordswap=yes
+rotate_labels=yes
+sort_labels=no
+generate_pinseq=yes
+sym_width=3000
+pinwidthvertikal=400
+pinwidthhorizontal=400
+
+[geda_attr]
+# name will be printed in the top of the symbol
+# if you have a device with slots, you'll have to use slot= and slotdef=
+# use comment= if there are special information you want to add
+version=XXXX
+name=AD9522-CTRL
+device=AD9522-4BPCZ
+refdes=U?
+footprint=CP-64-4
+description=Clock Generator
+documentation=http://www.analog.com/en/clock-and-timing/clock-generation-and-distribution/ad9522-4/products/product.html
+author=jblum
+numslots=0
+#slot=1
+#slotdef=1:
+#slotdef=2:
+#slotdef=3:
+#slotdef=4:
+#comment=
+#comment=
+
+[pins]
+# tabseparated list of pin descriptions
+# pinnr is the physical number of the pin
+# seq is the pinseq= attribute, leave it blank if it doesn't matter
+# type can be (in, out, io, oc, oe, pas, tp, tri, clk, pwr)
+# style can be (line,dot,clk,dotclk,none). none if only want to add a net
+# posit. can be (l,r,t,b) or empty for nets
+# net specifies the name of the Vcc or GND name
+# label represents the pinlabel. 
+#      negation lines can be added with _Q_ 
+#      if you want to add a "_" or "\" use "\_" and "\\" as escape sequences
+#-----------------------------------------------------
+#pinnr seq     type    style   posit.  net     label   
+#-----------------------------------------------------
+7              in      line    t               REF_SEL
+8              in      line    t               \_SYNC\_
+15             in      line    t               \_CS\_
+16             in      line    l               SCLK/SCL
+17             io      line    l               SDIO/SDA
+18             out     line    l               SDO
+21             in      line    l               SP0
+20             in      line    l               SP1
+22             in      line    l               EEPROM
+2              out     line    r               REFMON
+3              out     line    r               LD
+6              out     line    r               STATUS
+23             in      line    b               \_RESET\_
+24             in      line    b               \_PD\_
+
+
+
+
+
+
+

Added: usrp-hw/trunk/sym/generated/ad9522-PWR.src
===================================================================
--- usrp-hw/trunk/sym/generated/ad9522-PWR.src                          (rev 0)
+++ usrp-hw/trunk/sym/generated/ad9522-PWR.src  2009-10-13 20:23:37 UTC (rev 
11644)
@@ -0,0 +1,69 @@
+# This is the template file for creating symbols with tragesym
+# every line starting with '#' is a comment line.
+
+[options]
+# rotate_labels rotates the pintext of top and bottom pins
+# wordswap swaps labels if the pin is on the right side an looks like this:
+# "PB1 (CLK)"
+wordswap=yes
+rotate_labels=yes
+sort_labels=no
+generate_pinseq=yes
+sym_width=2000
+pinwidthvertikal=400
+pinwidthhorizontal=400
+
+[geda_attr]
+# name will be printed in the top of the symbol
+# if you have a device with slots, you'll have to use slot= and slotdef=
+# use comment= if there are special information you want to add
+version=XXXX
+name=AD9522-PWR
+device=AD9522-4BPCZ
+refdes=U?
+footprint=CP-64-4
+description=Clock Generator
+documentation=http://www.analog.com/en/clock-and-timing/clock-generation-and-distribution/ad9522-4/products/product.html
+author=jblum
+numslots=0
+#slot=1
+#slotdef=1:
+#slotdef=2:
+#slotdef=3:
+#slotdef=4:
+#comment=
+#comment=
+
+[pins]
+# tabseparated list of pin descriptions
+# pinnr is the physical number of the pin
+# seq is the pinseq= attribute, leave it blank if it doesn't matter
+# type can be (in, out, io, oc, oe, pas, tp, tri, clk, pwr)
+# style can be (line,dot,clk,dotclk,none). none if only want to add a net
+# posit. can be (l,r,t,b) or empty for nets
+# net specifies the name of the Vcc or GND name
+# label represents the pinlabel. 
+#      negation lines can be added with _Q_ 
+#      if you want to add a "_" or "\" use "\_" and "\\" as escape sequences
+#-----------------------------------------------------
+#pinnr seq     type    style   posit.  net     label   
+#-----------------------------------------------------
+1              pwr     line    l               VS
+11             pwr     line    l               VS
+12             pwr     line    l               VS
+27             pwr     line    l               VS
+32             pwr     line    l               VS
+35             pwr     line    l               VS
+40             pwr     line    l               VS
+41             pwr     line    l               VS
+46             pwr     line    l               VS
+49             pwr     line    l               VS
+54             pwr     line    l               VS
+57             pwr     line    l               VS
+60             pwr     line    l               VS
+61             pwr     line    l               VS
+4              pwr     line    t               VCP
+19             pwr     line    r               GND
+59             pwr     line    r               GND
+EPAD           pwr     line    b               GND
+





reply via email to

[Prev in Thread] Current Thread [Next in Thread]