commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r11220 - in gnuradio/branches/releases/3.2: . gnuradio


From: jcorgan
Subject: [Commit-gnuradio] r11220 - in gnuradio/branches/releases/3.2: . gnuradio-core/src/python/gnuradio/gr gnuradio-examples/python/digital gr-qtgui/src/python gr-wxgui/src/python/plotter grc/data/platforms/python/blocks grc/src/grc_gnuradio/wxgui/forms grc/src/utils usrp2/firmware/apps usrp2/firmware/lib usrp2/host/include/usrp2
Date: Wed, 17 Jun 2009 13:08:08 -0600 (MDT)

Author: jcorgan
Date: 2009-06-17 13:08:07 -0600 (Wed, 17 Jun 2009)
New Revision: 11220

Modified:
   gnuradio/branches/releases/3.2/
   gnuradio/branches/releases/3.2/gnuradio-core/src/python/gnuradio/gr/pubsub.py
   gnuradio/branches/releases/3.2/gnuradio-examples/python/digital/
   gnuradio/branches/releases/3.2/gr-qtgui/src/python/usrp_display.py
   
gnuradio/branches/releases/3.2/gr-wxgui/src/python/plotter/grid_plotter_base.py
   
gnuradio/branches/releases/3.2/grc/data/platforms/python/blocks/blks2_tcp_sink.xml
   
gnuradio/branches/releases/3.2/grc/data/platforms/python/blocks/blks2_tcp_source.xml
   
gnuradio/branches/releases/3.2/grc/data/platforms/python/blocks/blks2_variable_sink_x.xml
   gnuradio/branches/releases/3.2/grc/data/platforms/python/blocks/gr_add_xx.xml
   
gnuradio/branches/releases/3.2/grc/data/platforms/python/blocks/gr_channel_model.xml
   
gnuradio/branches/releases/3.2/grc/data/platforms/python/blocks/gr_multiply_xx.xml
   gnuradio/branches/releases/3.2/grc/src/grc_gnuradio/wxgui/forms/forms.py
   gnuradio/branches/releases/3.2/grc/src/utils/odict.py
   gnuradio/branches/releases/3.2/usrp2/firmware/apps/burnrev40.c
   gnuradio/branches/releases/3.2/usrp2/firmware/apps/factory_test.c
   gnuradio/branches/releases/3.2/usrp2/firmware/apps/serdes_to_dsp.c
   gnuradio/branches/releases/3.2/usrp2/firmware/lib/clocks.c
   gnuradio/branches/releases/3.2/usrp2/firmware/lib/clocks.h
   gnuradio/branches/releases/3.2/usrp2/firmware/lib/db_dbsrx.c
   gnuradio/branches/releases/3.2/usrp2/firmware/lib/db_rfx.c
   gnuradio/branches/releases/3.2/usrp2/firmware/lib/db_tvrx.c
   gnuradio/branches/releases/3.2/usrp2/firmware/lib/u2_init.c
   gnuradio/branches/releases/3.2/usrp2/host/include/usrp2/mimo_config.h
Log:
Applied changeset r11116:11122, r11160, r11164 to release-3.2 branch.


Property changes on: gnuradio/branches/releases/3.2
___________________________________________________________________
Modified: svn:mergeinfo
   - /gnuradio/branches/developers/eb/t348:10638-10648
/gnuradio/branches/developers/eb/t364:11016-11017
/gnuradio/branches/developers/eb/t367:11021-11025
/gnuradio/branches/developers/eb/t371:10958-10971
/gnuradio/branches/developers/eb/t378:10683-10688
/gnuradio/branches/developers/jblum/grc:10680-10938
/gnuradio/branches/developers/jblum/gui_guts:10464-10658
/gnuradio/branches/developers/jblum/vlen:10667-10677
/gnuradio/branches/developers/jcorgan/cpphier:10818-10858
/gnuradio/branches/developers/jcorgan/deb:10949-10959,11013-11022,11046-11059,11075-11077
/gnuradio/branches/developers/jcorgan/fw-optimize:10428-10429
/gnuradio/branches/developers/jcorgan/gpio2:10713-10765
/gnuradio/branches/developers/jcorgan/t161:10876-10880
/gnuradio/branches/developers/michaelld/am_swig_4:10555-10595
/gnuradio/branches/developers/michaelld/two_mods:10540-10546
/gnuradio/trunk:10356-10359,10481-10482,10497-10499,10506-10507,10511,10514,10521,10523-10524,10529,10531,10535,10537-10538,10550-10551,10556,10558-10560,10562-10563,10565,10574-10576,10578-10579,10581-10582,10585,10587,10596-10600,10623-10624,10629,10632-10634,10645-10646,10649-10650,10653-10655,10660-10661,10671,10673,10678,10681,10686,10689,10691,10701-10702,10707-10710,10714-10721,10725,10728-10736,10739-10751,10759-10762,10766,10768-10769,10778-10779,10787-10789,10793,10826,10847-10848,10850,10852-10853,10859,10861-10862,10864-10865,10869,10871-10872,10877-10878,10881,10884,10890,10907-10908,10919-10923,10925,10932,10941-10944,10946-10947,10958,10969-10970,10972,10974-10975,10978-10981,10992,10998-11001,11014,11018,11027,11029-11033,11043,11047,11050,11062-11063,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11116,11130,11161-11163,11165-11166,11168-11173
   + /gnuradio/branches/developers/eb/t348:10638-10648
/gnuradio/branches/developers/eb/t364:11016-11017
/gnuradio/branches/developers/eb/t367:11021-11025
/gnuradio/branches/developers/eb/t371:10958-10971
/gnuradio/branches/developers/eb/t378:10683-10688
/gnuradio/branches/developers/jblum/grc:10680-10938
/gnuradio/branches/developers/jblum/gui_guts:10464-10658
/gnuradio/branches/developers/jblum/vlen:10667-10677
/gnuradio/branches/developers/jcorgan/cpphier:10818-10858
/gnuradio/branches/developers/jcorgan/deb:10949-10959,11013-11022,11046-11059,11075-11077
/gnuradio/branches/developers/jcorgan/fw-optimize:10428-10429
/gnuradio/branches/developers/jcorgan/gpio2:10713-10765
/gnuradio/branches/developers/jcorgan/t161:10876-10880
/gnuradio/branches/developers/michaelld/am_swig_4:10555-10595
/gnuradio/branches/developers/michaelld/two_mods:10540-10546
/gnuradio/trunk:10356-10359,10481-10482,10497-10499,10506-10507,10511,10514,10521,10523-10524,10529,10531,10535,10537-10538,10550-10551,10556,10558-10560,10562-10563,10565,10574-10576,10578-10579,10581-10582,10585,10587,10596-10600,10623-10624,10629,10632-10634,10645-10646,10649-10650,10653-10655,10660-10661,10671,10673,10678,10681,10686,10689,10691,10701-10702,10707-10710,10714-10721,10725,10728-10736,10739-10751,10759-10762,10766,10768-10769,10778-10779,10787-10789,10793,10826,10847-10848,10850,10852-10853,10859,10861-10862,10864-10865,10869,10871-10872,10877-10878,10881,10884,10890,10907-10908,10919-10923,10925,10932,10941-10944,10946-10947,10958,10969-10970,10972,10974-10975,10978-10981,10992,10998-11001,11014,11018,11027,11029-11033,11043,11047,11050,11062-11063,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11122,11130,11160-11166,11168-11173


Property changes on: 
gnuradio/branches/releases/3.2/gnuradio-core/src/python/gnuradio/gr/pubsub.py
___________________________________________________________________
Modified: svn:mergeinfo
   - 
/gnuradio/branches/developers/eb/t348/gnuradio-core/src/python/gnuradio/gr/pubsub.py:10638-10648
/gnuradio/branches/developers/eb/t364/gnuradio-core/src/python/gnuradio/gr/pubsub.py:11016-11017
/gnuradio/branches/developers/eb/t367/gnuradio-core/src/python/gnuradio/gr/pubsub.py:11021-11025
/gnuradio/branches/developers/eb/t371/gnuradio-core/src/python/gnuradio/gr/pubsub.py:10958-10971
/gnuradio/branches/developers/eb/t378/gnuradio-core/src/python/gnuradio/gr/pubsub.py:10683-10688
/gnuradio/branches/developers/jblum/grc/gnuradio-core/src/python/gnuradio/gr/pubsub.py:10680-10938
/gnuradio/branches/developers/jblum/vlen/gnuradio-core/src/python/gnuradio/gr/pubsub.py:10667-10677
/gnuradio/branches/developers/jcorgan/cpphier/gnuradio-core/src/python/gnuradio/gr/pubsub.py:10818-10858
/gnuradio/branches/developers/jcorgan/deb/gnuradio-core/src/python/gnuradio/gr/pubsub.py:10949-10959,11013-11022,11046-11059,11075-11077
/gnuradio/branches/developers/jcorgan/gpio2/gnuradio-core/src/python/gnuradio/gr/pubsub.py:10713-10765
/gnuradio/branches/developers/jcorgan/t161/gnuradio-core/src/python/gnuradio/gr/pubsub.py:10876-10880
/gnuradio/trunk/gnuradio-core/src/python/gnuradio/gr/pubsub.py:10653-10655,10660-10661,10671,10673,10678,10681,10686,10689,10691,10701-10702,10707-10710,10714-10721,10725,10728-10736,10739-10751,10759-10762,10766,10768-10769,10778-10779,10787-10789,10793,10826,10847-10848,10850,10852-10853,10859,10861-10862,10864-10865,10869,10871-10872,10877-10878,10881,10884,10890,10907-10908,10919-10923,10925,10932,10941-10944,10946-10947,10958,10969-10970,10972,10974-10975,10978-10981,10992,10998-11001,11014,11018,11027,11029-11033,11043,11047,11050,11062-11063,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11116,11130,11161-11163,11165-11166,11168-11173
   + 
/gnuradio/branches/developers/eb/t348/gnuradio-core/src/python/gnuradio/gr/pubsub.py:10638-10648
/gnuradio/branches/developers/eb/t364/gnuradio-core/src/python/gnuradio/gr/pubsub.py:11016-11017
/gnuradio/branches/developers/eb/t367/gnuradio-core/src/python/gnuradio/gr/pubsub.py:11021-11025
/gnuradio/branches/developers/eb/t371/gnuradio-core/src/python/gnuradio/gr/pubsub.py:10958-10971
/gnuradio/branches/developers/eb/t378/gnuradio-core/src/python/gnuradio/gr/pubsub.py:10683-10688
/gnuradio/branches/developers/jblum/grc/gnuradio-core/src/python/gnuradio/gr/pubsub.py:10680-10938
/gnuradio/branches/developers/jblum/vlen/gnuradio-core/src/python/gnuradio/gr/pubsub.py:10667-10677
/gnuradio/branches/developers/jcorgan/cpphier/gnuradio-core/src/python/gnuradio/gr/pubsub.py:10818-10858
/gnuradio/branches/developers/jcorgan/deb/gnuradio-core/src/python/gnuradio/gr/pubsub.py:10949-10959,11013-11022,11046-11059,11075-11077
/gnuradio/branches/developers/jcorgan/gpio2/gnuradio-core/src/python/gnuradio/gr/pubsub.py:10713-10765
/gnuradio/branches/developers/jcorgan/t161/gnuradio-core/src/python/gnuradio/gr/pubsub.py:10876-10880
/gnuradio/trunk/gnuradio-core/src/python/gnuradio/gr/pubsub.py:10653-10655,10660-10661,10671,10673,10678,10681,10686,10689,10691,10701-10702,10707-10710,10714-10721,10725,10728-10736,10739-10751,10759-10762,10766,10768-10769,10778-10779,10787-10789,10793,10826,10847-10848,10850,10852-10853,10859,10861-10862,10864-10865,10869,10871-10872,10877-10878,10881,10884,10890,10907-10908,10919-10923,10925,10932,10941-10944,10946-10947,10958,10969-10970,10972,10974-10975,10978-10981,10992,10998-11001,11014,11018,11027,11029-11033,11043,11047,11050,11062-11063,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11122,11130,11160-11166,11168-11173


Property changes on: 
gnuradio/branches/releases/3.2/gnuradio-examples/python/digital
___________________________________________________________________
Modified: svn:mergeinfo
   - 
/gnuradio/branches/developers/eb/t348/gnuradio-examples/python/digital:10638-10648
/gnuradio/branches/developers/eb/t364/gnuradio-examples/python/digital:11016-11017
/gnuradio/branches/developers/eb/t367/gnuradio-examples/python/digital:11021-11025
/gnuradio/branches/developers/eb/t371/gnuradio-examples/python/digital:10958-10971
/gnuradio/branches/developers/eb/t378/gnuradio-examples/python/digital:10683-10688
/gnuradio/branches/developers/jblum/digital:10901-10941
/gnuradio/branches/developers/jblum/grc/gnuradio-examples/python/digital:10680-10938
/gnuradio/branches/developers/jblum/gui_guts/gnuradio-examples/python/digital:10464-10658
/gnuradio/branches/developers/jblum/vlen/gnuradio-examples/python/digital:10667-10677
/gnuradio/branches/developers/jcorgan/cpphier/gnuradio-examples/python/digital:10818-10858
/gnuradio/branches/developers/jcorgan/deb/gnuradio-examples/python/digital:10949-10959,11013-11022,11046-11059,11075-11077
/gnuradio/branches/developers/jcorgan/fw-optimize/gnuradio-examples/python/digital:10428-10429
/gnuradio/branches/developers/jcorgan/gpio2/gnuradio-examples/python/digital:10713-10765
/gnuradio/branches/developers/jcorgan/iad2/gnuradio-examples/python/digital:10771-10887
/gnuradio/branches/developers/jcorgan/t161/gnuradio-examples/python/digital:10876-10880
/gnuradio/branches/developers/michaelld/am_swig_4/gnuradio-examples/python/digital:10555-10595
/gnuradio/branches/developers/michaelld/two_mods/gnuradio-examples/python/digital:10540-10546
/gnuradio/trunk/gnuradio-examples/python/digital:10942,10972,10975,10979,10981,11000-11001,11014,11018,11027,11029-11033,11043,11047,11050,11062-11063,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11116,11130,11161-11163,11165-11166,11168-11173
   + 
/gnuradio/branches/developers/eb/t348/gnuradio-examples/python/digital:10638-10648
/gnuradio/branches/developers/eb/t364/gnuradio-examples/python/digital:11016-11017
/gnuradio/branches/developers/eb/t367/gnuradio-examples/python/digital:11021-11025
/gnuradio/branches/developers/eb/t371/gnuradio-examples/python/digital:10958-10971
/gnuradio/branches/developers/eb/t378/gnuradio-examples/python/digital:10683-10688
/gnuradio/branches/developers/jblum/digital:10901-10941
/gnuradio/branches/developers/jblum/grc/gnuradio-examples/python/digital:10680-10938
/gnuradio/branches/developers/jblum/gui_guts/gnuradio-examples/python/digital:10464-10658
/gnuradio/branches/developers/jblum/vlen/gnuradio-examples/python/digital:10667-10677
/gnuradio/branches/developers/jcorgan/cpphier/gnuradio-examples/python/digital:10818-10858
/gnuradio/branches/developers/jcorgan/deb/gnuradio-examples/python/digital:10949-10959,11013-11022,11046-11059,11075-11077
/gnuradio/branches/developers/jcorgan/fw-optimize/gnuradio-examples/python/digital:10428-10429
/gnuradio/branches/developers/jcorgan/gpio2/gnuradio-examples/python/digital:10713-10765
/gnuradio/branches/developers/jcorgan/iad2/gnuradio-examples/python/digital:10771-10887
/gnuradio/branches/developers/jcorgan/t161/gnuradio-examples/python/digital:10876-10880
/gnuradio/branches/developers/michaelld/am_swig_4/gnuradio-examples/python/digital:10555-10595
/gnuradio/branches/developers/michaelld/two_mods/gnuradio-examples/python/digital:10540-10546
/gnuradio/trunk/gnuradio-examples/python/digital:10942,10972,10975,10979,10981,11000-11001,11014,11018,11027,11029-11033,11043,11047,11050,11062-11063,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11122,11130,11160-11166,11168-11173


Property changes on: 
gnuradio/branches/releases/3.2/gr-qtgui/src/python/usrp_display.py
___________________________________________________________________
Modified: svn:mergeinfo
   - 
/gnuradio/branches/developers/eb/t364/gr-qtgui/src/python/usrp_display.py:11016-11017
/gnuradio/branches/developers/eb/t367/gr-qtgui/src/python/usrp_display.py:11021-11025
/gnuradio/branches/developers/eb/t371/gr-qtgui/src/python/usrp_display.py:10958-10971
/gnuradio/branches/developers/jcorgan/cpphier/gr-qtgui/src/python/usrp_display.py:10818-10858
/gnuradio/branches/developers/jcorgan/deb/gr-qtgui/src/python/usrp_display.py:10949-10959,11013-11022,11046-11059,11075-11077
/gnuradio/branches/developers/jcorgan/t161/gr-qtgui/src/python/usrp_display.py:10876-10880
/gnuradio/trunk/gr-qtgui/src/python/usrp_display.py:10826,10853,10859,10861-10862,10864-10865,10869,10871-10872,10877-10878,10881,10884,10890,10907-10908,10919-10923,10925,10932,10941-10944,10946-10947,10958,10969-10970,10972,10974-10975,10978-10981,10992,10998-11001,11014,11018,11027,11029-11033,11043,11047,11050,11062-11063,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11116,11130,11161-11163,11165-11166,11168-11173
   + 
/gnuradio/branches/developers/eb/t364/gr-qtgui/src/python/usrp_display.py:11016-11017
/gnuradio/branches/developers/eb/t367/gr-qtgui/src/python/usrp_display.py:11021-11025
/gnuradio/branches/developers/eb/t371/gr-qtgui/src/python/usrp_display.py:10958-10971
/gnuradio/branches/developers/jcorgan/cpphier/gr-qtgui/src/python/usrp_display.py:10818-10858
/gnuradio/branches/developers/jcorgan/deb/gr-qtgui/src/python/usrp_display.py:10949-10959,11013-11022,11046-11059,11075-11077
/gnuradio/branches/developers/jcorgan/t161/gr-qtgui/src/python/usrp_display.py:10876-10880
/gnuradio/trunk/gr-qtgui/src/python/usrp_display.py:10826,10853,10859,10861-10862,10864-10865,10869,10871-10872,10877-10878,10881,10884,10890,10907-10908,10919-10923,10925,10932,10941-10944,10946-10947,10958,10969-10970,10972,10974-10975,10978-10981,10992,10998-11001,11014,11018,11027,11029-11033,11043,11047,11050,11062-11063,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11122,11130,11160-11166,11168-11173


Property changes on: 
gnuradio/branches/releases/3.2/gr-wxgui/src/python/plotter/grid_plotter_base.py
___________________________________________________________________
Modified: svn:mergeinfo
   - 
/gnuradio/branches/developers/eb/t364/gr-wxgui/src/python/plotter/grid_plotter_base.py:11016-11017
/gnuradio/branches/developers/eb/t367/gr-wxgui/src/python/plotter/grid_plotter_base.py:11021-11025
/gnuradio/branches/developers/eb/t371/gr-wxgui/src/python/plotter/grid_plotter_base.py:10958-10971
/gnuradio/branches/developers/eb/t378/gr-wxgui/src/python/plotter/grid_plotter_base.py:10683-10688
/gnuradio/branches/developers/jblum/grc/gr-wxgui/src/python/plotter/grid_plotter_base.py:10680-10938
/gnuradio/branches/developers/jblum/vlen/gr-wxgui/src/python/plotter/grid_plotter_base.py:10667-10677
/gnuradio/branches/developers/jcorgan/cpphier/gr-wxgui/src/python/plotter/grid_plotter_base.py:10818-10858
/gnuradio/branches/developers/jcorgan/deb/gr-wxgui/src/python/plotter/grid_plotter_base.py:10949-10959,11013-11022,11046-11059,11075-11077
/gnuradio/branches/developers/jcorgan/gpio2/gr-wxgui/src/python/plotter/grid_plotter_base.py:10713-10765
/gnuradio/branches/developers/jcorgan/t161/gr-wxgui/src/python/plotter/grid_plotter_base.py:10876-10880
/gnuradio/trunk/gr-wxgui/src/python/plotter/grid_plotter_base.py:10673,10678,10681,10686,10689,10691,10701-10702,10707-10710,10714-10721,10725,10728-10736,10739-10751,10759-10762,10766,10768-10769,10778-10779,10787-10789,10793,10826,10847-10848,10850,10852-10853,10859,10861-10862,10864-10865,10869,10871-10872,10877-10878,10881,10884,10890,10907-10908,10919-10923,10925,10932,10941-10944,10946-10947,10958,10969-10970,10972,10974-10975,10978-10981,10992,10998-11001,11014,11018,11027,11029-11033,11043,11047,11050,11062-11063,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11116,11130,11161-11163,11165-11166,11168-11173
   + 
/gnuradio/branches/developers/eb/t364/gr-wxgui/src/python/plotter/grid_plotter_base.py:11016-11017
/gnuradio/branches/developers/eb/t367/gr-wxgui/src/python/plotter/grid_plotter_base.py:11021-11025
/gnuradio/branches/developers/eb/t371/gr-wxgui/src/python/plotter/grid_plotter_base.py:10958-10971
/gnuradio/branches/developers/eb/t378/gr-wxgui/src/python/plotter/grid_plotter_base.py:10683-10688
/gnuradio/branches/developers/jblum/grc/gr-wxgui/src/python/plotter/grid_plotter_base.py:10680-10938
/gnuradio/branches/developers/jblum/vlen/gr-wxgui/src/python/plotter/grid_plotter_base.py:10667-10677
/gnuradio/branches/developers/jcorgan/cpphier/gr-wxgui/src/python/plotter/grid_plotter_base.py:10818-10858
/gnuradio/branches/developers/jcorgan/deb/gr-wxgui/src/python/plotter/grid_plotter_base.py:10949-10959,11013-11022,11046-11059,11075-11077
/gnuradio/branches/developers/jcorgan/gpio2/gr-wxgui/src/python/plotter/grid_plotter_base.py:10713-10765
/gnuradio/branches/developers/jcorgan/t161/gr-wxgui/src/python/plotter/grid_plotter_base.py:10876-10880
/gnuradio/trunk/gr-wxgui/src/python/plotter/grid_plotter_base.py:10673,10678,10681,10686,10689,10691,10701-10702,10707-10710,10714-10721,10725,10728-10736,10739-10751,10759-10762,10766,10768-10769,10778-10779,10787-10789,10793,10826,10847-10848,10850,10852-10853,10859,10861-10862,10864-10865,10869,10871-10872,10877-10878,10881,10884,10890,10907-10908,10919-10923,10925,10932,10941-10944,10946-10947,10958,10969-10970,10972,10974-10975,10978-10981,10992,10998-11001,11014,11018,11027,11029-11033,11043,11047,11050,11062-11063,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11122,11130,11160-11166,11168-11173


Property changes on: 
gnuradio/branches/releases/3.2/grc/data/platforms/python/blocks/blks2_tcp_sink.xml
___________________________________________________________________
Modified: svn:mergeinfo
   - 
/gnuradio/branches/developers/eb/t348/grc/data/platforms/python/blocks/gr_udp_sink.xml:10638-10648
/gnuradio/branches/developers/eb/t364/grc/data/platforms/python/blocks/gr_udp_sink.xml:11016-11017
/gnuradio/branches/developers/eb/t367/grc/data/platforms/python/blocks/gr_udp_sink.xml:11021-11025
/gnuradio/branches/developers/eb/t371/grc/data/platforms/python/blocks/gr_udp_sink.xml:10958-10971
/gnuradio/branches/developers/eb/t378/grc/data/platforms/python/blocks/gr_udp_sink.xml:10683-10688
/gnuradio/branches/developers/jblum/grc/grc/data/platforms/python/blocks/gr_udp_sink.xml:10680-10938
/gnuradio/branches/developers/jblum/gui_guts/grc/data/platforms/python/blocks/gr_udp_sink.xml:10464-10658
/gnuradio/branches/developers/jblum/vlen/grc/data/platforms/python/blocks/gr_udp_sink.xml:10667-10677
/gnuradio/branches/developers/jcorgan/cpphier/grc/data/platforms/python/blocks/gr_udp_sink.xml:10818-10858
/gnuradio/branches/developers/jcorgan/deb/grc/data/platforms/python/blocks/blks2_tcp_sink.xml:11075-11077
/gnuradio/branches/developers/jcorgan/deb/grc/data/platforms/python/blocks/gr_udp_sink.xml:10949-10959,11013-11022
/gnuradio/branches/developers/jcorgan/fw-optimize/grc/data/platforms/python/blocks/gr_udp_sink.xml:10428-10429
/gnuradio/branches/developers/jcorgan/gpio2/grc/data/platforms/python/blocks/gr_udp_sink.xml:10713-10765
/gnuradio/branches/developers/jcorgan/iad2/grc/data/platforms/python/blocks/gr_udp_sink.xml:10771-10887
/gnuradio/branches/developers/jcorgan/t161/grc/data/platforms/python/blocks/gr_udp_sink.xml:10876-10880
/gnuradio/branches/developers/michaelld/am_swig_4/grc/data/platforms/python/blocks/gr_udp_sink.xml:10555-10595
/gnuradio/branches/developers/michaelld/two_mods/grc/data/platforms/python/blocks/gr_udp_sink.xml:10540-10546
/gnuradio/trunk/grc/data/platforms/python/blocks/blks2_tcp_sink.xml:11043,11047,11050,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11116,11130,11161-11163,11165-11166,11168-11173
   + 
/gnuradio/branches/developers/eb/t348/grc/data/platforms/python/blocks/gr_udp_sink.xml:10638-10648
/gnuradio/branches/developers/eb/t364/grc/data/platforms/python/blocks/gr_udp_sink.xml:11016-11017
/gnuradio/branches/developers/eb/t367/grc/data/platforms/python/blocks/gr_udp_sink.xml:11021-11025
/gnuradio/branches/developers/eb/t371/grc/data/platforms/python/blocks/gr_udp_sink.xml:10958-10971
/gnuradio/branches/developers/eb/t378/grc/data/platforms/python/blocks/gr_udp_sink.xml:10683-10688
/gnuradio/branches/developers/jblum/grc/grc/data/platforms/python/blocks/gr_udp_sink.xml:10680-10938
/gnuradio/branches/developers/jblum/gui_guts/grc/data/platforms/python/blocks/gr_udp_sink.xml:10464-10658
/gnuradio/branches/developers/jblum/vlen/grc/data/platforms/python/blocks/gr_udp_sink.xml:10667-10677
/gnuradio/branches/developers/jcorgan/cpphier/grc/data/platforms/python/blocks/gr_udp_sink.xml:10818-10858
/gnuradio/branches/developers/jcorgan/deb/grc/data/platforms/python/blocks/blks2_tcp_sink.xml:11075-11077
/gnuradio/branches/developers/jcorgan/deb/grc/data/platforms/python/blocks/gr_udp_sink.xml:10949-10959,11013-11022
/gnuradio/branches/developers/jcorgan/fw-optimize/grc/data/platforms/python/blocks/gr_udp_sink.xml:10428-10429
/gnuradio/branches/developers/jcorgan/gpio2/grc/data/platforms/python/blocks/gr_udp_sink.xml:10713-10765
/gnuradio/branches/developers/jcorgan/iad2/grc/data/platforms/python/blocks/gr_udp_sink.xml:10771-10887
/gnuradio/branches/developers/jcorgan/t161/grc/data/platforms/python/blocks/gr_udp_sink.xml:10876-10880
/gnuradio/branches/developers/michaelld/am_swig_4/grc/data/platforms/python/blocks/gr_udp_sink.xml:10555-10595
/gnuradio/branches/developers/michaelld/two_mods/grc/data/platforms/python/blocks/gr_udp_sink.xml:10540-10546
/gnuradio/trunk/grc/data/platforms/python/blocks/blks2_tcp_sink.xml:11043,11047,11050,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11122,11130,11160-11166,11168-11173


Property changes on: 
gnuradio/branches/releases/3.2/grc/data/platforms/python/blocks/blks2_tcp_source.xml
___________________________________________________________________
Modified: svn:mergeinfo
   - 
/gnuradio/branches/developers/eb/t348/grc/data/platforms/python/blocks/gr_udp_source.xml:10638-10648
/gnuradio/branches/developers/eb/t364/grc/data/platforms/python/blocks/gr_udp_source.xml:11016-11017
/gnuradio/branches/developers/eb/t367/grc/data/platforms/python/blocks/gr_udp_source.xml:11021-11025
/gnuradio/branches/developers/eb/t371/grc/data/platforms/python/blocks/gr_udp_source.xml:10958-10971
/gnuradio/branches/developers/eb/t378/grc/data/platforms/python/blocks/gr_udp_source.xml:10683-10688
/gnuradio/branches/developers/jblum/grc/grc/data/platforms/python/blocks/gr_udp_source.xml:10680-10938
/gnuradio/branches/developers/jblum/gui_guts/grc/data/platforms/python/blocks/gr_udp_source.xml:10464-10658
/gnuradio/branches/developers/jblum/vlen/grc/data/platforms/python/blocks/gr_udp_source.xml:10667-10677
/gnuradio/branches/developers/jcorgan/cpphier/grc/data/platforms/python/blocks/gr_udp_source.xml:10818-10858
/gnuradio/branches/developers/jcorgan/deb/grc/data/platforms/python/blocks/blks2_tcp_source.xml:11075-11077
/gnuradio/branches/developers/jcorgan/deb/grc/data/platforms/python/blocks/gr_udp_source.xml:10949-10959,11013-11022
/gnuradio/branches/developers/jcorgan/fw-optimize/grc/data/platforms/python/blocks/gr_udp_source.xml:10428-10429
/gnuradio/branches/developers/jcorgan/gpio2/grc/data/platforms/python/blocks/gr_udp_source.xml:10713-10765
/gnuradio/branches/developers/jcorgan/iad2/grc/data/platforms/python/blocks/gr_udp_source.xml:10771-10887
/gnuradio/branches/developers/jcorgan/t161/grc/data/platforms/python/blocks/gr_udp_source.xml:10876-10880
/gnuradio/branches/developers/michaelld/am_swig_4/grc/data/platforms/python/blocks/gr_udp_source.xml:10555-10595
/gnuradio/branches/developers/michaelld/two_mods/grc/data/platforms/python/blocks/gr_udp_source.xml:10540-10546
/gnuradio/trunk/grc/data/platforms/python/blocks/blks2_tcp_source.xml:11043,11047,11050,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11116,11130,11161-11163,11165-11166,11168-11173
   + 
/gnuradio/branches/developers/eb/t348/grc/data/platforms/python/blocks/gr_udp_source.xml:10638-10648
/gnuradio/branches/developers/eb/t364/grc/data/platforms/python/blocks/gr_udp_source.xml:11016-11017
/gnuradio/branches/developers/eb/t367/grc/data/platforms/python/blocks/gr_udp_source.xml:11021-11025
/gnuradio/branches/developers/eb/t371/grc/data/platforms/python/blocks/gr_udp_source.xml:10958-10971
/gnuradio/branches/developers/eb/t378/grc/data/platforms/python/blocks/gr_udp_source.xml:10683-10688
/gnuradio/branches/developers/jblum/grc/grc/data/platforms/python/blocks/gr_udp_source.xml:10680-10938
/gnuradio/branches/developers/jblum/gui_guts/grc/data/platforms/python/blocks/gr_udp_source.xml:10464-10658
/gnuradio/branches/developers/jblum/vlen/grc/data/platforms/python/blocks/gr_udp_source.xml:10667-10677
/gnuradio/branches/developers/jcorgan/cpphier/grc/data/platforms/python/blocks/gr_udp_source.xml:10818-10858
/gnuradio/branches/developers/jcorgan/deb/grc/data/platforms/python/blocks/blks2_tcp_source.xml:11075-11077
/gnuradio/branches/developers/jcorgan/deb/grc/data/platforms/python/blocks/gr_udp_source.xml:10949-10959,11013-11022
/gnuradio/branches/developers/jcorgan/fw-optimize/grc/data/platforms/python/blocks/gr_udp_source.xml:10428-10429
/gnuradio/branches/developers/jcorgan/gpio2/grc/data/platforms/python/blocks/gr_udp_source.xml:10713-10765
/gnuradio/branches/developers/jcorgan/iad2/grc/data/platforms/python/blocks/gr_udp_source.xml:10771-10887
/gnuradio/branches/developers/jcorgan/t161/grc/data/platforms/python/blocks/gr_udp_source.xml:10876-10880
/gnuradio/branches/developers/michaelld/am_swig_4/grc/data/platforms/python/blocks/gr_udp_source.xml:10555-10595
/gnuradio/branches/developers/michaelld/two_mods/grc/data/platforms/python/blocks/gr_udp_source.xml:10540-10546
/gnuradio/trunk/grc/data/platforms/python/blocks/blks2_tcp_source.xml:11043,11047,11050,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11122,11130,11160-11166,11168-11173


Property changes on: 
gnuradio/branches/releases/3.2/grc/data/platforms/python/blocks/blks2_variable_sink_x.xml
___________________________________________________________________
Modified: svn:mergeinfo
   - 
/gnuradio/branches/developers/eb/t348/grc/data/platforms/python/blocks/variable_sink.xml:10638-10648
/gnuradio/branches/developers/eb/t364/grc/data/platforms/python/blocks/variable_sink.xml:11016-11017
/gnuradio/branches/developers/eb/t367/grc/data/platforms/python/blocks/variable_sink.xml:11021-11025
/gnuradio/branches/developers/eb/t371/grc/data/platforms/python/blocks/variable_sink.xml:10958-10971
/gnuradio/branches/developers/eb/t378/grc/data/platforms/python/blocks/variable_sink.xml:10683-10688
/gnuradio/branches/developers/jblum/grc/grc/data/platforms/python/blocks/variable_sink.xml:10680-10938
/gnuradio/branches/developers/jblum/gui_guts/grc/data/platforms/python/blocks/variable_sink.xml:10464-10658
/gnuradio/branches/developers/jblum/vlen/grc/data/platforms/python/blocks/variable_sink.xml:10667-10677
/gnuradio/branches/developers/jcorgan/cpphier/grc/data/platforms/python/blocks/variable_sink.xml:10818-10858
/gnuradio/branches/developers/jcorgan/deb/grc/data/platforms/python/blocks/blks2_variable_sink_x.xml:11075-11077
/gnuradio/branches/developers/jcorgan/deb/grc/data/platforms/python/blocks/variable_sink.xml:10949-10959,11013-11022
/gnuradio/branches/developers/jcorgan/fw-optimize/grc/data/platforms/python/blocks/variable_sink.xml:10428-10429
/gnuradio/branches/developers/jcorgan/gpio2/grc/data/platforms/python/blocks/variable_sink.xml:10713-10765
/gnuradio/branches/developers/jcorgan/iad2/grc/data/platforms/python/blocks/variable_sink.xml:10771-10887
/gnuradio/branches/developers/jcorgan/t161/grc/data/platforms/python/blocks/variable_sink.xml:10876-10880
/gnuradio/branches/developers/michaelld/am_swig_4/grc/data/platforms/python/blocks/variable_sink.xml:10555-10595
/gnuradio/branches/developers/michaelld/two_mods/grc/data/platforms/python/blocks/variable_sink.xml:10540-10546
/gnuradio/trunk/grc/data/platforms/python/blocks/blks2_variable_sink_x.xml:11043,11047,11050,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11116,11130,11161-11163,11165-11166,11168-11173
   + 
/gnuradio/branches/developers/eb/t348/grc/data/platforms/python/blocks/variable_sink.xml:10638-10648
/gnuradio/branches/developers/eb/t364/grc/data/platforms/python/blocks/variable_sink.xml:11016-11017
/gnuradio/branches/developers/eb/t367/grc/data/platforms/python/blocks/variable_sink.xml:11021-11025
/gnuradio/branches/developers/eb/t371/grc/data/platforms/python/blocks/variable_sink.xml:10958-10971
/gnuradio/branches/developers/eb/t378/grc/data/platforms/python/blocks/variable_sink.xml:10683-10688
/gnuradio/branches/developers/jblum/grc/grc/data/platforms/python/blocks/variable_sink.xml:10680-10938
/gnuradio/branches/developers/jblum/gui_guts/grc/data/platforms/python/blocks/variable_sink.xml:10464-10658
/gnuradio/branches/developers/jblum/vlen/grc/data/platforms/python/blocks/variable_sink.xml:10667-10677
/gnuradio/branches/developers/jcorgan/cpphier/grc/data/platforms/python/blocks/variable_sink.xml:10818-10858
/gnuradio/branches/developers/jcorgan/deb/grc/data/platforms/python/blocks/blks2_variable_sink_x.xml:11075-11077
/gnuradio/branches/developers/jcorgan/deb/grc/data/platforms/python/blocks/variable_sink.xml:10949-10959,11013-11022
/gnuradio/branches/developers/jcorgan/fw-optimize/grc/data/platforms/python/blocks/variable_sink.xml:10428-10429
/gnuradio/branches/developers/jcorgan/gpio2/grc/data/platforms/python/blocks/variable_sink.xml:10713-10765
/gnuradio/branches/developers/jcorgan/iad2/grc/data/platforms/python/blocks/variable_sink.xml:10771-10887
/gnuradio/branches/developers/jcorgan/t161/grc/data/platforms/python/blocks/variable_sink.xml:10876-10880
/gnuradio/branches/developers/michaelld/am_swig_4/grc/data/platforms/python/blocks/variable_sink.xml:10555-10595
/gnuradio/branches/developers/michaelld/two_mods/grc/data/platforms/python/blocks/variable_sink.xml:10540-10546
/gnuradio/trunk/grc/data/platforms/python/blocks/blks2_variable_sink_x.xml:11043,11047,11050,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11122,11130,11160-11166,11168-11173


Property changes on: 
gnuradio/branches/releases/3.2/grc/data/platforms/python/blocks/gr_add_xx.xml
___________________________________________________________________
Modified: svn:mergeinfo
   - 
/gnuradio/branches/developers/eb/t348/grc/data/platforms/python/blocks/gr_add_vxx.xml:10638-10648
/gnuradio/branches/developers/eb/t364/grc/data/platforms/python/blocks/gr_add_xx.xml:11016-11017
/gnuradio/branches/developers/eb/t367/grc/data/platforms/python/blocks/gr_add_xx.xml:11021-11025
/gnuradio/branches/developers/eb/t371/grc/data/platforms/python/blocks/gr_add_xx.xml:10958-10971
/gnuradio/branches/developers/eb/t378/grc/data/platforms/python/blocks/gr_add_xx.xml:10683-10688
/gnuradio/branches/developers/jblum/grc/grc/data/platforms/python/blocks/gr_add_xx.xml:10680-10938
/gnuradio/branches/developers/jblum/gui_guts/grc/data/platforms/python/blocks/gr_add_vxx.xml:10464-10658
/gnuradio/branches/developers/jcorgan/cpphier/grc/data/platforms/python/blocks/gr_add_xx.xml:10818-10858
/gnuradio/branches/developers/jcorgan/deb/grc/data/platforms/python/blocks/gr_add_xx.xml:10949-10959,11013-11022,11046-11059,11075-11077
/gnuradio/branches/developers/jcorgan/gpio2/grc/data/platforms/python/blocks/gr_add_xx.xml:10713-10765
/gnuradio/branches/developers/jcorgan/t161/grc/data/platforms/python/blocks/gr_add_xx.xml:10876-10880
/gnuradio/branches/developers/michaelld/am_swig_4/grc/data/platforms/python/blocks/gr_add_vxx.xml:10555-10595
/gnuradio/branches/developers/michaelld/two_mods/grc/data/platforms/python/blocks/gr_add_vxx.xml:10540-10546
/gnuradio/trunk/grc/data/platforms/python/blocks/gr_add_xx.xml:10681,10686,10689,10691,10701-10702,10707-10710,10714-10721,10725,10728-10736,10739-10751,10759-10762,10766,10768-10769,10778-10779,10787-10789,10793,10826,10847-10848,10850,10852-10853,10859,10861-10862,10864-10865,10869,10871-10872,10877-10878,10881,10884,10890,10907-10908,10919-10923,10925,10932,10941-10944,10946-10947,10958,10969-10970,10972,10974-10975,10978-10981,10992,10998-11001,11014,11018,11027,11029-11033,11043,11047,11050,11062-11063,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11116,11130,11161-11163,11165-11166,11168-11173
   + 
/gnuradio/branches/developers/eb/t348/grc/data/platforms/python/blocks/gr_add_vxx.xml:10638-10648
/gnuradio/branches/developers/eb/t364/grc/data/platforms/python/blocks/gr_add_xx.xml:11016-11017
/gnuradio/branches/developers/eb/t367/grc/data/platforms/python/blocks/gr_add_xx.xml:11021-11025
/gnuradio/branches/developers/eb/t371/grc/data/platforms/python/blocks/gr_add_xx.xml:10958-10971
/gnuradio/branches/developers/eb/t378/grc/data/platforms/python/blocks/gr_add_xx.xml:10683-10688
/gnuradio/branches/developers/jblum/grc/grc/data/platforms/python/blocks/gr_add_xx.xml:10680-10938
/gnuradio/branches/developers/jblum/gui_guts/grc/data/platforms/python/blocks/gr_add_vxx.xml:10464-10658
/gnuradio/branches/developers/jcorgan/cpphier/grc/data/platforms/python/blocks/gr_add_xx.xml:10818-10858
/gnuradio/branches/developers/jcorgan/deb/grc/data/platforms/python/blocks/gr_add_xx.xml:10949-10959,11013-11022,11046-11059,11075-11077
/gnuradio/branches/developers/jcorgan/gpio2/grc/data/platforms/python/blocks/gr_add_xx.xml:10713-10765
/gnuradio/branches/developers/jcorgan/t161/grc/data/platforms/python/blocks/gr_add_xx.xml:10876-10880
/gnuradio/branches/developers/michaelld/am_swig_4/grc/data/platforms/python/blocks/gr_add_vxx.xml:10555-10595
/gnuradio/branches/developers/michaelld/two_mods/grc/data/platforms/python/blocks/gr_add_vxx.xml:10540-10546
/gnuradio/trunk/grc/data/platforms/python/blocks/gr_add_xx.xml:10681,10686,10689,10691,10701-10702,10707-10710,10714-10721,10725,10728-10736,10739-10751,10759-10762,10766,10768-10769,10778-10779,10787-10789,10793,10826,10847-10848,10850,10852-10853,10859,10861-10862,10864-10865,10869,10871-10872,10877-10878,10881,10884,10890,10907-10908,10919-10923,10925,10932,10941-10944,10946-10947,10958,10969-10970,10972,10974-10975,10978-10981,10992,10998-11001,11014,11018,11027,11029-11033,11043,11047,11050,11062-11063,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11122,11130,11160-11166,11168-11173


Property changes on: 
gnuradio/branches/releases/3.2/grc/data/platforms/python/blocks/gr_channel_model.xml
___________________________________________________________________
Modified: svn:mergeinfo
   - 
/gnuradio/branches/developers/eb/t348/grc/data/platforms/python/blocks/blks2_channel_model.xml:10638-10648
/gnuradio/branches/developers/eb/t364/grc/data/platforms/python/blocks/gr_channel_model.xml:11016-11017
/gnuradio/branches/developers/eb/t367/grc/data/platforms/python/blocks/gr_channel_model.xml:11021-11025
/gnuradio/branches/developers/eb/t371/grc/data/platforms/python/blocks/gr_channel_model.xml:10958-10971
/gnuradio/branches/developers/eb/t378/grc/data/platforms/python/blocks/blks2_channel_model.xml:10683-10688
/gnuradio/branches/developers/jblum/gui_guts/grc/data/platforms/python/blocks/blks2_channel_model.xml:10464-10658
/gnuradio/branches/developers/jblum/vlen/grc/data/platforms/python/blocks/blks2_channel_model.xml:10667-10677
/gnuradio/branches/developers/jcorgan/cpphier/grc/data/platforms/python/blocks/blks2_channel_model.xml:10818-10858
/gnuradio/branches/developers/jcorgan/deb/grc/data/platforms/python/blocks/gr_channel_model.xml:10949-10959,11013-11022,11046-11059,11075-11077
/gnuradio/branches/developers/jcorgan/fw-optimize/grc/data/platforms/python/blocks/blks2_channel_model.xml:10428-10429
/gnuradio/branches/developers/jcorgan/gpio2/grc/data/platforms/python/blocks/blks2_channel_model.xml:10713-10765
/gnuradio/branches/developers/jcorgan/t161/grc/data/platforms/python/blocks/gr_channel_model.xml:10876-10880
/gnuradio/branches/developers/michaelld/am_swig_4/grc/data/platforms/python/blocks/blks2_channel_model.xml:10555-10595
/gnuradio/branches/developers/michaelld/two_mods/grc/data/platforms/python/blocks/blks2_channel_model.xml:10540-10546
/gnuradio/trunk/grc/data/platforms/python/blocks/gr_channel_model.xml:10826,10853,10864-10865,10869,10871-10872,10877-10878,10881,10884,10890,10907-10908,10919-10923,10925,10932,10941-10944,10946-10947,10958,10969-10970,10972,10974-10975,10978-10981,10992,10998-11001,11014,11018,11027,11029-11033,11043,11047,11050,11062-11063,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11116,11130,11161-11163,11165-11166,11168-11173
   + 
/gnuradio/branches/developers/eb/t348/grc/data/platforms/python/blocks/blks2_channel_model.xml:10638-10648
/gnuradio/branches/developers/eb/t364/grc/data/platforms/python/blocks/gr_channel_model.xml:11016-11017
/gnuradio/branches/developers/eb/t367/grc/data/platforms/python/blocks/gr_channel_model.xml:11021-11025
/gnuradio/branches/developers/eb/t371/grc/data/platforms/python/blocks/gr_channel_model.xml:10958-10971
/gnuradio/branches/developers/eb/t378/grc/data/platforms/python/blocks/blks2_channel_model.xml:10683-10688
/gnuradio/branches/developers/jblum/gui_guts/grc/data/platforms/python/blocks/blks2_channel_model.xml:10464-10658
/gnuradio/branches/developers/jblum/vlen/grc/data/platforms/python/blocks/blks2_channel_model.xml:10667-10677
/gnuradio/branches/developers/jcorgan/cpphier/grc/data/platforms/python/blocks/blks2_channel_model.xml:10818-10858
/gnuradio/branches/developers/jcorgan/deb/grc/data/platforms/python/blocks/gr_channel_model.xml:10949-10959,11013-11022,11046-11059,11075-11077
/gnuradio/branches/developers/jcorgan/fw-optimize/grc/data/platforms/python/blocks/blks2_channel_model.xml:10428-10429
/gnuradio/branches/developers/jcorgan/gpio2/grc/data/platforms/python/blocks/blks2_channel_model.xml:10713-10765
/gnuradio/branches/developers/jcorgan/t161/grc/data/platforms/python/blocks/gr_channel_model.xml:10876-10880
/gnuradio/branches/developers/michaelld/am_swig_4/grc/data/platforms/python/blocks/blks2_channel_model.xml:10555-10595
/gnuradio/branches/developers/michaelld/two_mods/grc/data/platforms/python/blocks/blks2_channel_model.xml:10540-10546
/gnuradio/trunk/grc/data/platforms/python/blocks/gr_channel_model.xml:10826,10853,10864-10865,10869,10871-10872,10877-10878,10881,10884,10890,10907-10908,10919-10923,10925,10932,10941-10944,10946-10947,10958,10969-10970,10972,10974-10975,10978-10981,10992,10998-11001,11014,11018,11027,11029-11033,11043,11047,11050,11062-11063,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11122,11130,11160-11166,11168-11173


Property changes on: 
gnuradio/branches/releases/3.2/grc/data/platforms/python/blocks/gr_multiply_xx.xml
___________________________________________________________________
Modified: svn:mergeinfo
   - 
/gnuradio/branches/developers/eb/t348/grc/data/platforms/python/blocks/gr_multiply_vxx.xml:10638-10648
/gnuradio/branches/developers/eb/t364/grc/data/platforms/python/blocks/gr_multiply_xx.xml:11016-11017
/gnuradio/branches/developers/eb/t367/grc/data/platforms/python/blocks/gr_multiply_xx.xml:11021-11025
/gnuradio/branches/developers/eb/t371/grc/data/platforms/python/blocks/gr_multiply_xx.xml:10958-10971
/gnuradio/branches/developers/eb/t378/grc/data/platforms/python/blocks/gr_multiply_xx.xml:10683-10688
/gnuradio/branches/developers/jblum/grc/grc/data/platforms/python/blocks/gr_multiply_xx.xml:10680-10938
/gnuradio/branches/developers/jblum/gui_guts/grc/data/platforms/python/blocks/gr_multiply_vxx.xml:10464-10658
/gnuradio/branches/developers/jcorgan/cpphier/grc/data/platforms/python/blocks/gr_multiply_xx.xml:10818-10858
/gnuradio/branches/developers/jcorgan/deb/grc/data/platforms/python/blocks/gr_multiply_xx.xml:10949-10959,11013-11022,11046-11059,11075-11077
/gnuradio/branches/developers/jcorgan/gpio2/grc/data/platforms/python/blocks/gr_multiply_xx.xml:10713-10765
/gnuradio/branches/developers/jcorgan/t161/grc/data/platforms/python/blocks/gr_multiply_xx.xml:10876-10880
/gnuradio/branches/developers/michaelld/am_swig_4/grc/data/platforms/python/blocks/gr_multiply_vxx.xml:10555-10595
/gnuradio/branches/developers/michaelld/two_mods/grc/data/platforms/python/blocks/gr_multiply_vxx.xml:10540-10546
/gnuradio/trunk/grc/data/platforms/python/blocks/gr_multiply_xx.xml:10681,10686,10689,10691,10701-10702,10707-10710,10714-10721,10725,10728-10736,10739-10751,10759-10762,10766,10768-10769,10778-10779,10787-10789,10793,10826,10847-10848,10850,10852-10853,10859,10861-10862,10864-10865,10869,10871-10872,10877-10878,10881,10884,10890,10907-10908,10919-10923,10925,10932,10941-10944,10946-10947,10958,10969-10970,10972,10974-10975,10978-10981,10992,10998-11001,11014,11018,11027,11029-11033,11043,11047,11050,11062-11063,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11116,11130,11161-11163,11165-11166,11168-11173
   + 
/gnuradio/branches/developers/eb/t348/grc/data/platforms/python/blocks/gr_multiply_vxx.xml:10638-10648
/gnuradio/branches/developers/eb/t364/grc/data/platforms/python/blocks/gr_multiply_xx.xml:11016-11017
/gnuradio/branches/developers/eb/t367/grc/data/platforms/python/blocks/gr_multiply_xx.xml:11021-11025
/gnuradio/branches/developers/eb/t371/grc/data/platforms/python/blocks/gr_multiply_xx.xml:10958-10971
/gnuradio/branches/developers/eb/t378/grc/data/platforms/python/blocks/gr_multiply_xx.xml:10683-10688
/gnuradio/branches/developers/jblum/grc/grc/data/platforms/python/blocks/gr_multiply_xx.xml:10680-10938
/gnuradio/branches/developers/jblum/gui_guts/grc/data/platforms/python/blocks/gr_multiply_vxx.xml:10464-10658
/gnuradio/branches/developers/jcorgan/cpphier/grc/data/platforms/python/blocks/gr_multiply_xx.xml:10818-10858
/gnuradio/branches/developers/jcorgan/deb/grc/data/platforms/python/blocks/gr_multiply_xx.xml:10949-10959,11013-11022,11046-11059,11075-11077
/gnuradio/branches/developers/jcorgan/gpio2/grc/data/platforms/python/blocks/gr_multiply_xx.xml:10713-10765
/gnuradio/branches/developers/jcorgan/t161/grc/data/platforms/python/blocks/gr_multiply_xx.xml:10876-10880
/gnuradio/branches/developers/michaelld/am_swig_4/grc/data/platforms/python/blocks/gr_multiply_vxx.xml:10555-10595
/gnuradio/branches/developers/michaelld/two_mods/grc/data/platforms/python/blocks/gr_multiply_vxx.xml:10540-10546
/gnuradio/trunk/grc/data/platforms/python/blocks/gr_multiply_xx.xml:10681,10686,10689,10691,10701-10702,10707-10710,10714-10721,10725,10728-10736,10739-10751,10759-10762,10766,10768-10769,10778-10779,10787-10789,10793,10826,10847-10848,10850,10852-10853,10859,10861-10862,10864-10865,10869,10871-10872,10877-10878,10881,10884,10890,10907-10908,10919-10923,10925,10932,10941-10944,10946-10947,10958,10969-10970,10972,10974-10975,10978-10981,10992,10998-11001,11014,11018,11027,11029-11033,11043,11047,11050,11062-11063,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11122,11130,11160-11166,11168-11173


Property changes on: 
gnuradio/branches/releases/3.2/grc/src/grc_gnuradio/wxgui/forms/forms.py
___________________________________________________________________
Modified: svn:mergeinfo
   - 
/gnuradio/branches/developers/eb/t364/grc/src/grc_gnuradio/wxgui/forms/forms.py:11016-11017
/gnuradio/branches/developers/eb/t367/grc/src/grc_gnuradio/wxgui/forms/forms.py:11021-11025
/gnuradio/branches/developers/eb/t371/grc/src/grc_gnuradio/wxgui/forms/forms.py:10958-10971
/gnuradio/branches/developers/jcorgan/deb/grc/src/grc_gnuradio/wxgui/forms/forms.py:10949-10959,11013-11022,11046-11059,11075-11077
/gnuradio/trunk/grc/src/grc_gnuradio/wxgui/forms/forms.py:10942-10944,10946-10947,10958,10969-10970,10972,10974-10975,10978-10981,11000-11001,11014,11018,11027,11029-11033,11043,11047,11050,11062-11063,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11116,11130,11161-11163,11165-11166,11168-11173
   + 
/gnuradio/branches/developers/eb/t364/grc/src/grc_gnuradio/wxgui/forms/forms.py:11016-11017
/gnuradio/branches/developers/eb/t367/grc/src/grc_gnuradio/wxgui/forms/forms.py:11021-11025
/gnuradio/branches/developers/eb/t371/grc/src/grc_gnuradio/wxgui/forms/forms.py:10958-10971
/gnuradio/branches/developers/jcorgan/deb/grc/src/grc_gnuradio/wxgui/forms/forms.py:10949-10959,11013-11022,11046-11059,11075-11077
/gnuradio/trunk/grc/src/grc_gnuradio/wxgui/forms/forms.py:10942-10944,10946-10947,10958,10969-10970,10972,10974-10975,10978-10981,11000-11001,11014,11018,11027,11029-11033,11043,11047,11050,11062-11063,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11122,11130,11160-11166,11168-11173


Property changes on: gnuradio/branches/releases/3.2/grc/src/utils/odict.py
___________________________________________________________________
Modified: svn:mergeinfo
   - /gnuradio/branches/developers/eb/t364/grc/src/utils/odict.py:11016-11017
/gnuradio/branches/developers/eb/t367/grc/src/utils/odict.py:11021-11025
/gnuradio/branches/developers/eb/t371/grc/src/utils/odict.py:10958-10971
/gnuradio/branches/developers/jcorgan/deb/grc/src/utils/odict.py:10949-10959,11013-11022,11046-11059,11075-11077
/gnuradio/trunk/grc/src/utils/odict.py:10942-10944,10946-10947,10958,10969-10970,10972,10974-10975,10978-10981,11000-11001,11014,11018,11027,11029-11033,11043,11047,11050,11062-11063,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11116,11130,11161-11163,11165-11166,11168-11173
   + /gnuradio/branches/developers/eb/t364/grc/src/utils/odict.py:11016-11017
/gnuradio/branches/developers/eb/t367/grc/src/utils/odict.py:11021-11025
/gnuradio/branches/developers/eb/t371/grc/src/utils/odict.py:10958-10971
/gnuradio/branches/developers/jcorgan/deb/grc/src/utils/odict.py:10949-10959,11013-11022,11046-11059,11075-11077
/gnuradio/trunk/grc/src/utils/odict.py:10942-10944,10946-10947,10958,10969-10970,10972,10974-10975,10978-10981,11000-11001,11014,11018,11027,11029-11033,11043,11047,11050,11062-11063,11072,11078,11080-11082,11084-11091,11099,11101,11106,11109,11111-11112,11115-11122,11130,11160-11166,11168-11173

Modified: gnuradio/branches/releases/3.2/usrp2/firmware/apps/burnrev40.c
===================================================================
--- gnuradio/branches/releases/3.2/usrp2/firmware/apps/burnrev40.c      
2009-06-17 18:51:18 UTC (rev 11219)
+++ gnuradio/branches/releases/3.2/usrp2/firmware/apps/burnrev40.c      
2009-06-17 19:08:07 UTC (rev 11220)
@@ -43,220 +43,6 @@
 #define HW_REV_MAJOR 4
 #define HW_REV_MINOR 0
 
-#define FW_SETS_SEQNO  1       // define to 0 or 1 (FIXME must be 1 for now)
-
-#if (FW_SETS_SEQNO)
-static int fw_seqno;   // used when f/w is filling in sequence numbers
-#endif
-
-
-/*
- * Full duplex Tx and Rx between ethernet and DSP pipelines
- *
- * Buffer 1 is used by the cpu to send frames to the host.
- * Buffers 2 and 3 are used to double-buffer the DSP Rx to eth flow
- * Buffers 4 and 5 are used to double-buffer the eth to DSP Tx  eth flow
- */
-//#define CPU_RX_BUF   0       // eth -> cpu
-
-#define        DSP_RX_BUF_0    2       // dsp rx -> eth (double buffer)
-#define        DSP_RX_BUF_1    3       // dsp rx -> eth
-#define        DSP_TX_BUF_0    4       // eth -> dsp tx (double buffer)
-#define        DSP_TX_BUF_1    5       // eth -> dsp tx
-
-/*
- * ================================================================
- *   configure DSP TX double buffering state machine (eth -> dsp)
- * ================================================================
- */
-
-// 4 lines of ethernet hdr + 1 line transport hdr + 2 lines (word0 + timestamp)
-// DSP Tx reads word0 (flags) + timestamp followed by samples
-
-#define DSP_TX_FIRST_LINE ((sizeof(u2_eth_hdr_t) + 
sizeof(u2_transport_hdr_t))/4)
-
-// Receive from ethernet
-buf_cmd_args_t dsp_tx_recv_args = {
-  PORT_ETH,
-  0,
-  BP_LAST_LINE
-};
-
-// send to DSP Tx
-buf_cmd_args_t dsp_tx_send_args = {
-  PORT_DSP,
-  DSP_TX_FIRST_LINE,   // starts just past transport header
-  0                    // filled in from last_line register
-};
-
-dbsm_t dsp_tx_sm;      // the state machine
-
-/*
- * ================================================================
- *   configure DSP RX double buffering state machine (dsp -> eth)
- * ================================================================
- */
-
-// 4 lines of ethernet hdr + 1 line transport hdr + 1 line (word0)
-// DSP Rx writes timestamp followed by nlines_per_frame of samples
-#define DSP_RX_FIRST_LINE ((sizeof(u2_eth_hdr_t) + 
sizeof(u2_transport_hdr_t))/4 + 1)
-
-// receive from DSP
-buf_cmd_args_t dsp_rx_recv_args = {
-  PORT_DSP,
-  DSP_RX_FIRST_LINE,
-  BP_LAST_LINE
-};
-
-// send to ETH
-buf_cmd_args_t dsp_rx_send_args = {
-  PORT_ETH,
-  0,           // starts with ethernet header in line 0
-  0,           // filled in from list_line register
-};
-
-dbsm_t dsp_rx_sm;      // the state machine
-
-
-// The mac address of the host we're sending to.
-u2_mac_addr_t host_mac_addr;
-
-
-// variables for streaming mode
-
-static bool         streaming_p = false;
-static unsigned int streaming_items_per_frame = 0;
-static int          streaming_frame_count = 0;
-#define FRAMES_PER_CMD 1000
-
-bool is_streaming(void){ return streaming_p; }
-
-// ----------------------------------------------------------------
-
-
-void
-restart_streaming(void)
-{
-  // setup RX DSP regs
-  dsp_rx_regs->clear_state = 1;                        // reset
-
-  streaming_p = true;
-  streaming_frame_count = FRAMES_PER_CMD;
-
-  dsp_rx_regs->rx_command =
-    MK_RX_CMD(FRAMES_PER_CMD * streaming_items_per_frame,
-             streaming_items_per_frame,
-             1, 1);                    // set "chain" bit
-
-  // kick off the state machine
-  dbsm_start(&dsp_rx_sm);
-
-  dsp_rx_regs->rx_time = 0;            // enqueue first of two commands
-
-  // make sure this one and the rest have the "now" and "chain" bits set.
-  dsp_rx_regs->rx_command =
-    MK_RX_CMD(FRAMES_PER_CMD * streaming_items_per_frame,
-             streaming_items_per_frame,
-             1, 1);                            
-
-  dsp_rx_regs->rx_time = 0;            // enqueue second command
-}
-
-void
-start_rx_streaming_cmd(const u2_mac_addr_t *host, op_start_rx_streaming_t *p)
-{
-  host_mac_addr = *host;       // remember who we're sending to
-
-  /*
-   * Construct  ethernet header and word0 and preload into two buffers
-   */
-  u2_eth_packet_t      pkt;
-  memset(&pkt, 0, sizeof(pkt));
-  pkt.ehdr.dst = *host;
-  pkt.ehdr.ethertype = U2_ETHERTYPE;
-  u2p_set_word0(&pkt.fixed, 0, 0);
-  // DSP RX will fill in timestamp
-
-  memcpy_wa(buffer_ram(DSP_RX_BUF_0), &pkt, sizeof(pkt));
-  memcpy_wa(buffer_ram(DSP_RX_BUF_1), &pkt, sizeof(pkt));
-
-
-  if (FW_SETS_SEQNO)
-    fw_seqno = 0;
-
-  streaming_items_per_frame = p->items_per_frame;
-  restart_streaming();
-}
-
-
-void
-stop_rx_cmd(void)
-{
-  streaming_p = false;
-  dsp_rx_regs->clear_state = 1;        // flush cmd queue
-  bp_clear_buf(DSP_RX_BUF_0);
-  bp_clear_buf(DSP_RX_BUF_1);
-}
-
-
-static void
-setup_tx()
-{
-  dsp_tx_regs->clear_state = 1;
-  bp_clear_buf(DSP_TX_BUF_0);
-  bp_clear_buf(DSP_TX_BUF_1);
-
-  int tx_scale = 256;
-  int interp = 32;
-
-  // setup some defaults
-
-  dsp_tx_regs->freq = 0;
-  dsp_tx_regs->scale_iq = (tx_scale << 16) | tx_scale;
-  dsp_tx_regs->interp_rate = interp;
-}
-
-
-#if (FW_SETS_SEQNO)
-/*
- * Debugging ONLY.  This will be handled by the tx_protocol_engine.
- *
- * This is called when the DSP Rx chain has filled in a packet.
- * We set and increment the seqno, then return false, indicating
- * that we didn't handle the packet.  A bit of a kludge
- * but it should work.
- */
-bool 
-fw_sets_seqno_inspector(dbsm_t *sm, int buf_this)      // returns false
-{
-  uint32_t *p = buffer_ram(buf_this);
-  uint32_t seqno = fw_seqno++;
-
-  // KLUDGE all kinds of nasty magic numbers and embedded knowledge
-  uint32_t t = p[4];
-  t = (t & 0xffff00ff) | ((seqno & 0xff) << 8);
-  p[4] = t;
-
-  // queue up another rx command when required
-  if (streaming_p && --streaming_frame_count == 0){
-    streaming_frame_count = FRAMES_PER_CMD;
-    dsp_rx_regs->rx_time = 0;
-  }
-
-  return false;                // we didn't handle the packet
-}
-#endif
-
-
-inline static void
-buffer_irq_handler(unsigned irq)
-{
-  uint32_t  status = buffer_pool_status->status;
-
-  dbsm_process_status(&dsp_tx_sm, status);
-  dbsm_process_status(&dsp_rx_sm, status);
-}
-
 int test_ram()
 {
   int i,j,k;
@@ -329,7 +115,7 @@
 {
   u2_init();
 
-  putstr("\nFactory Test TXRX\n");
+  putstr("\nFactory Test, Board Rev 4.0\n");
 
   bool ok = true;
   unsigned char maj = HW_REV_MAJOR;
@@ -350,8 +136,8 @@
     puts("SD OK\n");
   else {
     puts("SD FAIL\n");
-    hal_finish();
-    return 0;
+    //hal_finish();
+    //return 0;
   }
   if(test_ram())
     puts("RAM OK\n");

Modified: gnuradio/branches/releases/3.2/usrp2/firmware/apps/factory_test.c
===================================================================
--- gnuradio/branches/releases/3.2/usrp2/firmware/apps/factory_test.c   
2009-06-17 18:51:18 UTC (rev 11219)
+++ gnuradio/branches/releases/3.2/usrp2/firmware/apps/factory_test.c   
2009-06-17 19:08:07 UTC (rev 11220)
@@ -40,9 +40,6 @@
 #include <clocks.h>
 #include "sd.h"
 
-#define HW_REV_MAJOR 3
-#define HW_REV_MINOR 1
-
 #define FW_SETS_SEQNO  1       // define to 0 or 1 (FIXME must be 1 for now)
 
 #if (FW_SETS_SEQNO)
@@ -329,29 +326,17 @@
 {
   u2_init();
 
-  putstr("\nFactory Test TXRX\n");
+  putstr("\nFactory Test\n");
 
-  bool ok = true;
-  unsigned char maj = HW_REV_MAJOR;
-  unsigned char min = HW_REV_MINOR;
-  ok = eeprom_write(I2C_ADDR_MBOARD, MBOARD_REV_MSB, &maj, 1);
-  ok &= eeprom_write(I2C_ADDR_MBOARD, MBOARD_REV_LSB, &min, 1);
+  print_mac_addr(ethernet_mac_addr()->addr);
+  newline();
 
-  putstr("\nset_hw_rev\n");
-  if (ok)
-    printf("OK: set h/w rev to %d.%d\n", HW_REV_MAJOR, HW_REV_MINOR);
-  else {
-    printf("FAILED to set h/w rev to %d.%d\n", HW_REV_MAJOR, HW_REV_MINOR);
-    hal_finish();
-    return 0;
-  }
-
   if(test_sd())
     puts("SD OK\n");
   else {
     puts("SD FAIL\n");
-    hal_finish();
-    return 0;
+    //    hal_finish();
+    //return 0;
   }
   if(test_ram())
     puts("RAM OK\n");

Modified: gnuradio/branches/releases/3.2/usrp2/firmware/apps/serdes_to_dsp.c
===================================================================
--- gnuradio/branches/releases/3.2/usrp2/firmware/apps/serdes_to_dsp.c  
2009-06-17 18:51:18 UTC (rev 11219)
+++ gnuradio/branches/releases/3.2/usrp2/firmware/apps/serdes_to_dsp.c  
2009-06-17 19:08:07 UTC (rev 11220)
@@ -31,7 +31,6 @@
 #include "usrp2_eth_packet.h"
 #include "dbsm.h"
 #include "app_common.h"
-#include <ad9510.h>
 #include <stddef.h>
 #include <stdlib.h>
 #include <string.h>
@@ -140,17 +139,9 @@
 
   // Get our clock from the mimo interface
 
-  // if(I WANT TO LOCK TO A REFERENCE CLOCK) 
-  // Reg 8, Charge pump on, dig lock det, positive PFD, 47
-  ad9510_write_reg(0x08, 0x47);
-  ad9510_write_reg(0x5A, 0x01); // Update Regs
-  // if (LOCK_TO_MIMO_REF)
-
-  // Turn on ref output and choose the MIMO connector
-  output_regs->clk_ctrl = 0x15;
-  // Turn on ref output and choose the SMA connector
-  //output_regs->clk_ctrl = 0x14;
-
+  clocks_enable_test_clk(true,1);
+  clocks_mimo_config(MC_WE_LOCK_TO_MIMO);
+  
   // setup tx gpio bits for GPIOM_FPGA_1 -- fpga debug output
   //hal_gpio_set_sels(GPIO_TX_BANK, "1111111111111111");
   //hal_gpio_set_sels(GPIO_RX_BANK, "1111111111111111");

Modified: gnuradio/branches/releases/3.2/usrp2/firmware/lib/clocks.c
===================================================================
--- gnuradio/branches/releases/3.2/usrp2/firmware/lib/clocks.c  2009-06-17 
18:51:18 UTC (rev 11219)
+++ gnuradio/branches/releases/3.2/usrp2/firmware/lib/clocks.c  2009-06-17 
19:08:07 UTC (rev 11220)
@@ -24,16 +24,17 @@
 #include "memory_map.h"
 #include "ad9510.h"
 #include "spi.h"
+#include "u2_init.h"
+#include "nonstdio.h"
 
 void 
 clocks_init(void)
 {
   // Set up basic clocking functions in AD9510
   ad9510_write_reg(0x45, 0x00); // CLK2 drives distribution
-  ad9510_write_reg(0x3D, 0x00); // Turn on output 1 (FPGA CLK), normal levels
-  ad9510_write_reg(0x4B, 0x80); // Bypass divider 1
-  ad9510_write_reg(0x5A, 0x01); // Update Regs
 
+  clocks_enable_fpga_clk(true, 1);
+
   spi_wait();
 
   // Set up PLL for 10 MHz reference
@@ -51,23 +52,14 @@
   clocks_mimo_config(MC_WE_DONT_LOCK);
 
   // Set up other clocks
-
   clocks_enable_test_clk(false, 0);
   clocks_enable_tx_dboard(false, 0);
   clocks_enable_rx_dboard(false, 0);
+  clocks_enable_eth_phyclk(false, 0);
 
-  // ETH phy clock
-  ad9510_write_reg(0x41, 0x01); // Turn off output 5 (phy_clk)
-  ad9510_write_reg(0x53, 0x80); // Bypass divider
-
   // Enable clock to ADCs and DACs
-  ad9510_write_reg(0x3F, 0x00); // Turn on output 3 (DAC CLK), normal levels
-  ad9510_write_reg(0x4F, 0x80); // Bypass Div #3
-
-  ad9510_write_reg(0x40, 0x02); // Turn on out 4 (ADC clk), LVDS
-  ad9510_write_reg(0x51, 0x80); // Bypass Div #4
-
-  ad9510_write_reg(0x5A, 0x01); // Update Regs
+  clocks_enable_dac_clk(true, 1);
+  clocks_enable_adc_clk(true, 1);
 }
 
 
@@ -96,9 +88,9 @@
   ad9510_write_reg(0x5A, 0x01); // Update Regs
 
   spi_wait();
-
+  
   // Allow for clock switchover
-
+  
   if (flags & _MC_WE_LOCK){            // WE LOCK
     if (flags & _MC_MIMO_CLK_INPUT) {
       // Turn on ref output and choose the MIMO connector
@@ -115,17 +107,10 @@
   }
 
   // Do we drive a clock onto the MIMO connector?
-
-  if (flags & MC_PROVIDE_CLK_TO_MIMO) {
-    ad9510_write_reg(0x3E, 0x00); // Turn on output 2 (clk_exp_out), normal 
levels
-    ad9510_write_reg(0x4D, 0x00); // Turn on Div2
-    ad9510_write_reg(0x4C, 0x44); // Set Div2 = 10, output a 10 MHz clock
-  }
-  else {
-    ad9510_write_reg(0x3E, 0x02); // Turn off output 2 (clk_exp_out)
-    ad9510_write_reg(0x4D, 0x80); // Bypass divider 2
-  }
-  ad9510_write_reg(0x5A, 0x01); // Update Regs
+  if (flags & MC_PROVIDE_CLK_TO_MIMO)
+    clocks_enable_clkexp_out(true,10);
+  else
+    clocks_enable_clkexp_out(false,0); 
 }
 
 int inline
@@ -143,40 +128,108 @@
 #define CLOCK_DIV_DIS 0x80
 #define CLOCK_DIV_EN 0x00
 
+#define CLOCK_MODE_PECL 1
+#define CLOCK_MODE_LVDS 2
+#define CLOCK_MODE_CMOS 3
+
 void 
-clocks_enable_XXX_clk(bool enable, int divisor, int reg_en, int reg_div, int 
val_off)
+clocks_enable_XXX_clk(bool enable, int divisor, int reg_en, int reg_div, int 
mode)
 {
-  if(enable) {
-    ad9510_write_reg(reg_en,CLOCK_OUT_EN);     // Turn on output, normal levels
-    if(divisor>1) {
-      ad9510_write_reg(reg_div,clocks_gen_div(divisor)); // Set divisor
-      ad9510_write_reg(reg_div+1,CLOCK_DIV_EN);   // Enable divider
-    }
-    else {
-      ad9510_write_reg(reg_div+1,CLOCK_DIV_DIS);  // Disable Divider
-    }
+  int enable_word, div_word, div_en_word;
+
+  switch(mode) {
+  case CLOCK_MODE_PECL :
+    enable_word = enable ? 0x08 : 0x0A;
+    break;
+  case CLOCK_MODE_LVDS :
+    enable_word = enable ? 0x02 : 0x03;
+    break;
+  case CLOCK_MODE_CMOS :
+    enable_word = enable ? 0x08 : 0x09;
+    break;
   }
+  if(enable && (divisor>1)) {
+    div_word = clocks_gen_div(divisor);
+    div_en_word = CLOCK_DIV_EN;
+  }
   else {
-    ad9510_write_reg(reg_en,val_off);  // Power off output (val different for 
PECL/CMOS)
-    ad9510_write_reg(reg_div+1,CLOCK_DIV_DIS);  // Bypass Divider to power it 
down
+    div_word = 0;
+    div_en_word = CLOCK_DIV_DIS;
   }
+
+  ad9510_write_reg(reg_en,enable_word); // Output en/dis
+  ad9510_write_reg(reg_div,div_word); // Set divisor
+  ad9510_write_reg(reg_div+1,div_en_word); // Enable or Bypass Divider
   ad9510_write_reg(0x5A, 0x01);  // Update Regs
 }
 
+// Clock 0
 void
 clocks_enable_test_clk(bool enable, int divisor)
 {
-  clocks_enable_XXX_clk(enable,divisor,0x3C,0x48,CLOCK_OUT_DIS_PECL);
+  clocks_enable_XXX_clk(enable,divisor,0x3C,0x48,CLOCK_MODE_PECL);
 }
 
+// Clock 1
 void
-clocks_enable_rx_dboard(bool enable, int divisor)
+clocks_enable_fpga_clk(bool enable, int divisor)
 {
-  clocks_enable_XXX_clk(enable,divisor,0x43,0x56,CLOCK_OUT_DIS_CMOS);
+  clocks_enable_XXX_clk(enable,divisor,0x3D,0x4A,CLOCK_MODE_PECL);
 }
 
+// Clock 2 on Rev 3, Clock 5 on Rev 4
 void
+clocks_enable_clkexp_out(bool enable, int divisor)
+{
+  if(u2_hw_rev_major == 3)
+    clocks_enable_XXX_clk(enable,divisor,0x3E,0x4C,CLOCK_MODE_PECL);
+  else if(u2_hw_rev_major == 4) {
+    ad9510_write_reg(0x34,0x00);  // Turn on fine delay
+    ad9510_write_reg(0x35,0x00);  // Set Full Scale to nearly 10ns
+    ad9510_write_reg(0x36,0x1c);  // Set fine delay.  0x20 is midscale
+    clocks_enable_XXX_clk(enable,divisor,0x41,0x52,CLOCK_MODE_LVDS);
+    
+  }
+  else
+    putstr("ERR: Invalid Rev\n");
+}
+
+// Clock 5 on Rev 3, none (was 2) on Rev 4
+void
+clocks_enable_eth_phyclk(bool enable, int divisor)
+{
+  if(u2_hw_rev_major == 3)
+    clocks_enable_XXX_clk(enable,divisor,0x41,0x52,CLOCK_MODE_LVDS);
+  else if(u2_hw_rev_major == 4)
+    clocks_enable_XXX_clk(enable,divisor,0x3E,0x4C,CLOCK_MODE_PECL);
+  else
+    putstr("ERR: Invalid Rev\n");
+}
+
+// Clock 3
+void
+clocks_enable_dac_clk(bool enable, int divisor)
+{
+  clocks_enable_XXX_clk(enable,divisor,0x3F,0x4E,CLOCK_MODE_PECL);
+}
+
+// Clock 4
+void
+clocks_enable_adc_clk(bool enable, int divisor)
+{
+  clocks_enable_XXX_clk(enable,divisor,0x40,0x50,CLOCK_MODE_LVDS);
+}
+
+// Clock 6
+void
 clocks_enable_tx_dboard(bool enable, int divisor)
 {
-  clocks_enable_XXX_clk(enable,divisor,0x42,0x54,CLOCK_OUT_DIS_CMOS);
+  clocks_enable_XXX_clk(enable,divisor,0x42,0x54,CLOCK_MODE_CMOS);
 }
+
+// Clock 7
+void
+clocks_enable_rx_dboard(bool enable, int divisor)
+{
+  clocks_enable_XXX_clk(enable,divisor,0x43,0x56,CLOCK_MODE_CMOS);
+}

Modified: gnuradio/branches/releases/3.2/usrp2/firmware/lib/clocks.h
===================================================================
--- gnuradio/branches/releases/3.2/usrp2/firmware/lib/clocks.h  2009-06-17 
18:51:18 UTC (rev 11219)
+++ gnuradio/branches/releases/3.2/usrp2/firmware/lib/clocks.h  2009-06-17 
19:08:07 UTC (rev 11220)
@@ -49,6 +49,31 @@
 void clocks_enable_test_clk(bool enable, int divisor);
 
 /*!
+ * \brief Enable or disable fpga clock.  Disabling would wedge and require a 
power cycle.
+ */
+void clocks_enable_fpga_clk(bool enable, int divisor);
+
+/*!
+ * \brief Enable or disable clock output sent to MIMO connector
+ */
+void clocks_enable_clkexp_out(bool enable, int divisor);
+
+/*!
+ * \brief Enable or disable ethernet phyclk, should always be disabled
+ */
+void clocks_enable_eth_phyclk(bool enable, int divisor);
+
+/*!
+ * \brief Enable or disable clock to DAC
+ */
+void clocks_enable_dac_clk(bool enable, int divisor);
+
+/*!
+ * \brief Enable or disable clock to ADC
+ */
+void clocks_enable_adc_clk(bool enable, int divisor);
+
+/*!
  * \brief Enable or disable clock to Rx daughterboard
  */
 void clocks_enable_rx_dboard(bool enable, int divisor);

Modified: gnuradio/branches/releases/3.2/usrp2/firmware/lib/db_dbsrx.c
===================================================================
--- gnuradio/branches/releases/3.2/usrp2/firmware/lib/db_dbsrx.c        
2009-06-17 18:51:18 UTC (rev 11219)
+++ gnuradio/branches/releases/3.2/usrp2/firmware/lib/db_dbsrx.c        
2009-06-17 19:08:07 UTC (rev 11220)
@@ -122,8 +122,8 @@
   unsigned char readback[2];
   i2c_read(I2C_ADDR, readback, 2*sizeof(unsigned char));
   int adc_val = (readback[0] >> 2)&7;
-  printf("READBACK[0] %d, [1] %d\n",readback[0],readback[1]);
-  printf("ADC: %d\n",adc_val);
+  //printf("READBACK[0] %d, [1] %d\n",readback[0],readback[1]);
+  //printf("ADC: %d\n",adc_val);
   return adc_val;
 }
 
@@ -134,7 +134,7 @@
   args[0] = (unsigned char)regno;
   args[1] = (unsigned char)v;
   i2c_write(I2C_ADDR, args, 2*sizeof(unsigned char));
-  printf("Reg %d, Val %x\n",regno,v);
+  //printf("Reg %d, Val %x\n",regno,v);
 }
 
 static void _send_reg_0(struct db_dbsrx_dummy *db){
@@ -236,7 +236,7 @@
   while ((r_reg<7) && ((2<<r_reg) < rmin)) {
     r_reg++;
   }
-  printf ("r_reg = %d, r = %d\n",r_reg,2<<r_reg);
+  //printf ("r_reg = %d, r = %d\n",r_reg,2<<r_reg);
   int best_r = r_reg;
   int best_n = 0;
 
@@ -262,8 +262,8 @@
     r_reg++;
   }
 
-  printf("BEST R: %d  Best Delta %d  Best N %d\n",
-        best_r,u2_fxpt_freq_round_to_int(best_delta),best_n);
+  //printf("BEST R: %d  Best Delta %d  Best N %d\n",
+  // best_r,u2_fxpt_freq_round_to_int(best_delta),best_n);
   _set_r(db, best_r);
   _set_n(db, best_n);
  
@@ -284,14 +284,14 @@
     vco=6;
   else
     vco=7;
-  printf("Initial VCO choice %d\n",vco);  
+  //printf("Initial VCO choice %d\n",vco);  
   _set_osc(db, vco);
   
 
   int adc_val = 0;
   while(adc_val == 0 || adc_val == 7) {
     adc_val = _read_adc();
-    printf("adc %d\n",adc_val);
+    //printf("adc %d\n",adc_val);
 
     if(adc_val == 0) {
       if(vco <= 0) {
@@ -321,7 +321,7 @@
   else {
     _set_cp(db, 3);
   }
-  printf("Final VCO choice %d\n",vco);  
+  //printf("Final VCO choice %d\n",vco);  
 
   *dc = db->common.d_n * REFCLK_FREQ / (2<<db->common.d_r_reg);
   return true;

Modified: gnuradio/branches/releases/3.2/usrp2/firmware/lib/db_rfx.c
===================================================================
--- gnuradio/branches/releases/3.2/usrp2/firmware/lib/db_rfx.c  2009-06-17 
18:51:18 UTC (rev 11219)
+++ gnuradio/branches/releases/3.2/usrp2/firmware/lib/db_rfx.c  2009-06-17 
19:08:07 UTC (rev 11220)
@@ -19,7 +19,6 @@
 #include <memory_map.h>
 #include <db_base.h>
 #include <hal_io.h>
-#include <ad9510.h>
 #include <stdio.h>
 #include <mdelay.h>
 #include <lsdac.h>

Modified: gnuradio/branches/releases/3.2/usrp2/firmware/lib/db_tvrx.c
===================================================================
--- gnuradio/branches/releases/3.2/usrp2/firmware/lib/db_tvrx.c 2009-06-17 
18:51:18 UTC (rev 11219)
+++ gnuradio/branches/releases/3.2/usrp2/firmware/lib/db_tvrx.c 2009-06-17 
19:08:07 UTC (rev 11220)
@@ -21,7 +21,6 @@
 #include <memory_map.h>
 #include <db_base.h>
 #include <hal_io.h>
-#include <ad9510.h>
 #include <stdio.h>
 
 bool tvrx_init(struct db_base *db);

Modified: gnuradio/branches/releases/3.2/usrp2/firmware/lib/u2_init.c
===================================================================
--- gnuradio/branches/releases/3.2/usrp2/firmware/lib/u2_init.c 2009-06-17 
18:51:18 UTC (rev 11219)
+++ gnuradio/branches/releases/3.2/usrp2/firmware/lib/u2_init.c 2009-06-17 
19:08:07 UTC (rev 11220)
@@ -65,6 +65,10 @@
   // init spi, so that we can switch over to the high-speed clock
   spi_init();
 
+  // init i2c so we can read our rev
+  i2c_init();
+  get_hw_rev();
+
   // set up the default clocks
   clocks_init();
 
@@ -97,13 +101,10 @@
 
   pic_init();  // progammable interrupt controller
   bp_init();   // buffer pool
-  i2c_init();
   lsadc_init();            // low-speed ADCs
   lsdac_init();            // low-speed DACs
   db_init();       // daughterboard init
   
-  get_hw_rev();
-
   hal_enable_ints();
 
   // flash all leds to let us know board is alive


Property changes on: 
gnuradio/branches/releases/3.2/usrp2/host/include/usrp2/mimo_config.h
___________________________________________________________________
Modified: svn:mergeinfo
   - 
/gnuradio/branches/developers/eb/t348/usrp2/firmware/include/usrp2_mimo_config.h:10638-10648
/gnuradio/branches/developers/eb/t364/usrp2/firmware/include/usrp2_mimo_config.h:11016-11017
/gnuradio/branches/developers/eb/t367/usrp2/firmware/include/usrp2_mimo_config.h:11021-11025
/gnuradio/branches/developers/eb/t371/usrp2/firmware/include/usrp2_mimo_config.h:10958-10971
/gnuradio/branches/developers/eb/t378/usrp2/firmware/include/usrp2_mimo_config.h:10683-10688
/gnuradio/branches/developers/jblum/grc/usrp2/firmware/include/usrp2_mimo_config.h:10680-10938
/gnuradio/branches/developers/jblum/gui_guts/usrp2/firmware/include/usrp2_mimo_config.h:10464-10658
/gnuradio/branches/developers/jblum/vlen/usrp2/firmware/include/usrp2_mimo_config.h:10667-10677
/gnuradio/branches/developers/jcorgan/cpphier/usrp2/firmware/include/usrp2_mimo_config.h:10818-10858
/gnuradio/branches/developers/jcorgan/deb/usrp2/firmware/include/usrp2_mimo_config.h:10949-10959,11013-11022
/gnuradio/branches/developers/jcorgan/fw-optimize/usrp2/firmware/include/usrp2_mimo_config.h:10428-10429
/gnuradio/branches/developers/jcorgan/gpio2/usrp2/firmware/include/usrp2_mimo_config.h:10713-10765
/gnuradio/branches/developers/jcorgan/iad2/usrp2/firmware/include/usrp2_mimo_config.h:10771-10887
/gnuradio/branches/developers/jcorgan/t161/usrp2/firmware/include/usrp2_mimo_config.h:10876-10880
/gnuradio/branches/developers/michaelld/am_swig_4/usrp2/firmware/include/usrp2_mimo_config.h:10555-10595
/gnuradio/branches/developers/michaelld/two_mods/usrp2/firmware/include/usrp2_mimo_config.h:10540-10546
/gnuradio/trunk/usrp2/host/include/usrp2/mimo_config.h:11084-11085,11088-11090,11099,11101,11106,11109,11111-11112,11115-11116,11130,11161-11163,11165-11166,11168-11173
   + 
/gnuradio/branches/developers/eb/t348/usrp2/firmware/include/usrp2_mimo_config.h:10638-10648
/gnuradio/branches/developers/eb/t364/usrp2/firmware/include/usrp2_mimo_config.h:11016-11017
/gnuradio/branches/developers/eb/t367/usrp2/firmware/include/usrp2_mimo_config.h:11021-11025
/gnuradio/branches/developers/eb/t371/usrp2/firmware/include/usrp2_mimo_config.h:10958-10971
/gnuradio/branches/developers/eb/t378/usrp2/firmware/include/usrp2_mimo_config.h:10683-10688
/gnuradio/branches/developers/jblum/grc/usrp2/firmware/include/usrp2_mimo_config.h:10680-10938
/gnuradio/branches/developers/jblum/gui_guts/usrp2/firmware/include/usrp2_mimo_config.h:10464-10658
/gnuradio/branches/developers/jblum/vlen/usrp2/firmware/include/usrp2_mimo_config.h:10667-10677
/gnuradio/branches/developers/jcorgan/cpphier/usrp2/firmware/include/usrp2_mimo_config.h:10818-10858
/gnuradio/branches/developers/jcorgan/deb/usrp2/firmware/include/usrp2_mimo_config.h:10949-10959,11013-11022
/gnuradio/branches/developers/jcorgan/fw-optimize/usrp2/firmware/include/usrp2_mimo_config.h:10428-10429
/gnuradio/branches/developers/jcorgan/gpio2/usrp2/firmware/include/usrp2_mimo_config.h:10713-10765
/gnuradio/branches/developers/jcorgan/iad2/usrp2/firmware/include/usrp2_mimo_config.h:10771-10887
/gnuradio/branches/developers/jcorgan/t161/usrp2/firmware/include/usrp2_mimo_config.h:10876-10880
/gnuradio/branches/developers/michaelld/am_swig_4/usrp2/firmware/include/usrp2_mimo_config.h:10555-10595
/gnuradio/branches/developers/michaelld/two_mods/usrp2/firmware/include/usrp2_mimo_config.h:10540-10546
/gnuradio/trunk/usrp2/host/include/usrp2/mimo_config.h:11084-11085,11088-11090,11099,11101,11106,11109,11111-11112,11115-11122,11130,11160-11166,11168-11173





reply via email to

[Prev in Thread] Current Thread [Next in Thread]