commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r10717 - gnuradio/trunk/usrp2/fpga/simple_gemac


From: matt
Subject: [Commit-gnuradio] r10717 - gnuradio/trunk/usrp2/fpga/simple_gemac
Date: Tue, 31 Mar 2009 00:55:21 -0600 (MDT)

Author: matt
Date: 2009-03-31 00:55:21 -0600 (Tue, 31 Mar 2009)
New Revision: 10717

Modified:
   gnuradio/trunk/usrp2/fpga/simple_gemac/simple_gemac_tb.v
   gnuradio/trunk/usrp2/fpga/simple_gemac/simple_gemac_tx.v
Log:
everything but CRC


Modified: gnuradio/trunk/usrp2/fpga/simple_gemac/simple_gemac_tb.v
===================================================================
--- gnuradio/trunk/usrp2/fpga/simple_gemac/simple_gemac_tb.v    2009-03-31 
05:56:47 UTC (rev 10716)
+++ gnuradio/trunk/usrp2/fpga/simple_gemac/simple_gemac_tb.v    2009-03-31 
06:55:21 UTC (rev 10717)
@@ -51,16 +51,16 @@
       input [7:0] data_start;
       input [31:0] data_len;
       begin
-        $display("Sending Packet");
+        $display("Sending Packet Len=%d", data_len);
         $display($time);
-        count <= 0;
+        count <= 1;
         tx_data  <= data_start;
         tx_error <= 0;
         tx_valid <= 1;
         while(~tx_ack)
           @(posedge tx_clk);
-        $display("Packet Accepted");
-        $display($time);
+//      $display("Packet Accepted");
+//      $display($time);
         while(count < data_len)
           begin
              tx_data <= tx_data + 1;
@@ -75,7 +75,7 @@
    task SendPacketFromFile;
       input [31:0] data_len;
       begin
-        $display("Sending Packet From File");
+        $display("Sending Packet From File Len=%d",data_len);
         $display($time);
         $readmemh( "test_packet.mem",pkt_rom );     
         count    = 0;
@@ -84,8 +84,8 @@
         tx_valid = 1;
         while(~tx_ack)
           @(posedge tx_clk);
-        $display("Packet Accepted");
-        $display($time);
+//      $display("Packet Accepted");
+//      $display($time);
         count = 1;
         while(count < data_len)
           begin
@@ -112,17 +112,27 @@
    initial
      begin
        @(negedge reset);
-       repeat (20)
+       repeat (100)
          @(posedge clk);
        SendFlowCtrl;
-       //repeat (200)
+       repeat (200)
          @(posedge clk);
        SendPacket(8'hAA,10);
-       //repeat (100)
-       //  @(posedge clk);
+       repeat (100)
+         @(posedge clk);
        SendPacketFromFile(60);
+       repeat (100)
+         @(posedge clk);
        SendPacketFromFile(59);
+       repeat (100)
+         @(posedge clk);
        SendPacketFromFile(58);
+       repeat (100)
+         @(posedge clk);
+       SendPacketFromFile(61);
+       repeat (100)
+         @(posedge clk);
+       SendPacketFromFile(62);
        #10000 $finish;
      end
 

Modified: gnuradio/trunk/usrp2/fpga/simple_gemac/simple_gemac_tx.v
===================================================================
--- gnuradio/trunk/usrp2/fpga/simple_gemac/simple_gemac_tx.v    2009-03-31 
05:56:47 UTC (rev 10716)
+++ gnuradio/trunk/usrp2/fpga/simple_gemac/simple_gemac_tx.v    2009-03-31 
06:55:21 UTC (rev 10717)
@@ -195,15 +195,25 @@
 
    wire clear_crc   = (tx_state == TX_IDLE);
 
-   wire calc_crc_pre = (tx_state==TX_FIRSTBYTE)||(tx_state==TX_IN_FRAME)||
-       ((tx_state==TX_IN_FRAME_2)&tx_valid )||(tx_state==TX_PAD 
)||(tx_state[6]);
-   reg calc_crc;
-   always @(posedge tx_clk)
-     calc_crc <= calc_crc_pre;
-   
+//   wire calc_crc_pre = (tx_state==TX_FIRSTBYTE)||(tx_state==TX_IN_FRAME)||
+//     ((tx_state  ==TX_IN_FRAME_2)&tx_valid )||(tx_state==TX_PAD 
)||(tx_state[6]);
+  // reg calc_crc;
+  // always @(posedge tx_clk)
+  //   calc_crc <= calc_crc_pre;
+   wire calc_crc    = 0;
+
+   /*
+   wire calc_crc    = ~(tx_state==TX_IDLE) &
+       ~(tx_state==TX_IDLE) &
+       ~(tx_state==TX_IDLE) &
+       ~(tx_state==TX_IDLE) &
+    */
    crc crc(.clk(tx_clk), .reset(reset), .clear(clear_crc),
            .data(txd_pre), .calc(calc_crc), .crc_out(crc_out));
 
+
+//        .data(txd_pre), .calc(calc_crc & ~(tx_state==TX_CRC_0)), 
.crc_out(crc_out));
+
    assign tx_ack    = (tx_state == TX_FIRSTBYTE);
 
    reg tx_valid_d1;





reply via email to

[Prev in Thread] Current Thread [Next in Thread]