commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r10708 - gnuradio/trunk/usrp2/fpga/eth


From: matt
Subject: [Commit-gnuradio] r10708 - gnuradio/trunk/usrp2/fpga/eth
Date: Sun, 29 Mar 2009 20:37:06 -0600 (MDT)

Author: matt
Date: 2009-03-29 20:37:05 -0600 (Sun, 29 Mar 2009)
New Revision: 10708

Modified:
   gnuradio/trunk/usrp2/fpga/eth/mac_rxfifo_int.v
Log:
optional (but not used) additional buffering in eth rx path.  


Modified: gnuradio/trunk/usrp2/fpga/eth/mac_rxfifo_int.v
===================================================================
--- gnuradio/trunk/usrp2/fpga/eth/mac_rxfifo_int.v      2009-03-30 02:34:53 UTC 
(rev 10707)
+++ gnuradio/trunk/usrp2/fpga/eth/mac_rxfifo_int.v      2009-03-30 02:37:05 UTC 
(rev 10708)
@@ -32,13 +32,24 @@
    // Write side of short FIFO
    assign write = ~full & ~Rx_mac_empty;
    assign Rx_mac_rd = write;
+
+`define LONGFIFO 0
  
+`ifdef LONGFIFO
+   cascadefifo2 #(.WIDTH(35),.SIZE(10)) mac_rx_longfifo
+     (.clk(clk),.rst(rst),.clear(0),
+      
.datain({Rx_mac_sop,Rx_mac_eop,Rx_mac_err,Rx_mac_data}),.write(write),.full(full),
+      .dataout({sop_o,eop_o,error_o,wr_dat_o}),.read(read),.empty(empty),
+      .space(), .occupied(fifo_occupied) );   
+`else 
    shortfifo #(.WIDTH(35)) mac_rx_sfifo
      (.clk(clk),.rst(rst),.clear(0),
       
.datain({Rx_mac_sop,Rx_mac_eop,Rx_mac_err,Rx_mac_data}),.write(write),.full(full),
       .dataout({sop_o,eop_o,error_o,wr_dat_o}),.read(read),.empty(empty),
       .space(), .occupied(fifo_occupied[4:0]) );
    assign fifo_occupied[15:5] = 0;
+`endif
+   
    assign fifo_full = full;
    assign fifo_empty = empty;
    





reply via email to

[Prev in Thread] Current Thread [Next in Thread]