commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r7785 - in gnuradio/branches/developers/gnychis/fpga/u


From: gnychis
Subject: [Commit-gnuradio] r7785 - in gnuradio/branches/developers/gnychis/fpga/usrp/fpga: inband_lib rbf/rev2 rbf/rev4 toplevel/usrp_inband_usb
Date: Fri, 22 Feb 2008 16:20:58 -0700 (MST)

Author: gnychis
Date: 2008-02-22 16:20:58 -0700 (Fri, 22 Feb 2008)
New Revision: 7785

Modified:
   
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/inband_lib/rx_buffer_inband.v
   
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/inband_lib/tx_buffer_inband.v
   
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/rbf/rev2/inband_1rxhb_1tx.rbf
   
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/rbf/rev4/inband_1rxhb_1tx.rbf
   
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
Log:
Now properly reading the number of channels from the top level file based on the
config file.  New RBFs for single channel, confirmed working, after some FPGA
cleanup.


Modified: 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/inband_lib/rx_buffer_inband.v
===================================================================
--- 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/inband_lib/rx_buffer_inband.v
   2008-02-22 23:20:26 UTC (rev 7784)
+++ 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/inband_lib/rx_buffer_inband.v
   2008-02-22 23:20:58 UTC (rev 7785)
@@ -37,7 +37,7 @@
     input wire [1:0] tx_underrun
     );
     
-    parameter NUM_CHAN = 2;
+    parameter NUM_CHAN = 1;
     genvar i ;
     
     // FX2 Bug Fix
@@ -108,6 +108,9 @@
   assign have_space = (wrusedw < 12'd760);
         
   // Rx side fifos
+  // These are of size [NUM_CHAN:0] because the extra channel is used for the
+  // RX command channel.  If there were no command channel, they would be
+  // NUM_CHAN-1.
   wire chan_rdreq;
   wire [15:0] chan_fifodata;
   wire [9:0] chan_usedw;
@@ -119,7 +122,7 @@
     .rxclk ( rxclk ),
     .reset ( reset ),
     .adctime ( adctime ),
-    .channels ( 4'd2 ), //need to be tested and changed to channels 
+    .channels ( NUM_CHAN ),
     .chan_rdreq ( chan_rdreq ),
     .chan_fifodata ( chan_fifodata ),
     .chan_empty ( chan_empty ),
@@ -142,7 +145,7 @@
       rx_overrun <= 1'b0;
 
                
-  // TODO write this genericly
+  // FIXME: what is the purpose of these two lines?
   wire [15:0]ch[NUM_CHAN:0];
   assign ch[0] = ch_0;
        
@@ -157,6 +160,7 @@
       rx_WR_enabled <= 0;
 
 
+  // Of Size 0:NUM_CHAN due to extra command channel.
   wire [15:0] dataout [0:NUM_CHAN];
   wire [9:0]  usedw    [0:NUM_CHAN];
   wire empty[0:NUM_CHAN];

Modified: 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/inband_lib/tx_buffer_inband.v
===================================================================
--- 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/inband_lib/tx_buffer_inband.v
   2008-02-22 23:20:26 UTC (rev 7784)
+++ 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/inband_lib/tx_buffer_inband.v
   2008-02-22 23:20:58 UTC (rev 7785)
@@ -22,6 +22,10 @@
     //system stop
     output wire stop, output wire [15:0] stop_time);
        
+   // FIXME: this should default to 1, but I have to set it to 2 even if there
+   // is only a single TX channel because the rest of this code is not generic
+   // enough and does not use NUM_CHAN.  It assumes there are always 2 TX
+   // channels, this needs fixed.
    parameter NUM_CHAN   =      2 ;
    /* Debug paramters */
    parameter STROBE_RATE_0 =   8'd1 ;
@@ -74,6 +78,8 @@
    wire                 [15:0] tx_q [NUM_CHAN-1:0] ;
     
    /* TODO: Figure out how to write this genericly */
+   // FIXME: this seriously needs to be written generically, it's preventing
+   // proper usage of NUM_CHAN.
    assign have_space = chan_have_space[0] & chan_have_space[1];
    assign tx_empty = chan_txempty[0] & chan_txempty[1] ;
    assign tx_i_0 = chan_txempty[0] ? 16'b0 : tx_i[0] ;

Modified: 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/rbf/rev2/inband_1rxhb_1tx.rbf
===================================================================
(Binary files differ)

Modified: 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/rbf/rev4/inband_1rxhb_1tx.rbf
===================================================================
(Binary files differ)

Modified: 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
===================================================================
--- 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
      2008-02-22 23:20:26 UTC (rev 7784)
+++ 
gnuradio/branches/developers/gnychis/fpga/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
      2008-02-22 23:20:58 UTC (rev 7785)
@@ -154,6 +154,11 @@
           .rssi_0(rssi_0), .rssi_1(rssi_1), .rssi_2(rssi_2), 
        .rssi_3(rssi_3), .threshhold(rssi_threshhold), .rssi_wait(rssi_wait),
           .stop(stop), .stop_time(stop_time));
+
+  `ifdef TX_DUAL
+    defparam tx_buffer.NUM_CHAN=2;
+  `endif
+
 `else
    tx_buffer tx_buffer
      ( .usbclk(usbclk),.bus_reset(tx_bus_reset),.reset(tx_dsp_reset),
@@ -270,6 +275,11 @@
           .debugbus(tx_debugbus),
           .rssi_0(rssi_0), .rssi_1(rssi_1), .rssi_2(rssi_2), .rssi_3(rssi_3),
           .tx_underrun(tx_underrun));
+    
+    `ifdef RX_DUAL
+      defparam rx_buffer.NUM_CHAN=2;
+    `endif
+
    `else
    rx_buffer rx_buffer
      ( .usbclk(usbclk),.bus_reset(rx_bus_reset),.reset(rx_dsp_reset),





reply via email to

[Prev in Thread] Current Thread [Next in Thread]