commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6692 - in gnuradio/branches/developers/zhuochen/inban


From: zhuochen
Subject: [Commit-gnuradio] r6692 - in gnuradio/branches/developers/zhuochen/inband/usrp/fpga: inband_lib toplevel/usrp_inband_usb toplevel/usrp_std
Date: Thu, 25 Oct 2007 15:04:29 -0600 (MDT)

Author: zhuochen
Date: 2007-10-25 15:04:27 -0600 (Thu, 25 Oct 2007)
New Revision: 6692

Modified:
   
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/inband_lib/register_io.v
   
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
   
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/toplevel/usrp_std/usrp_std.qsf
Log:
Work in progress on fixing non-working register read/writes once old method is 
disabled


Modified: 
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/inband_lib/register_io.v
===================================================================
--- 
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/inband_lib/register_io.v 
    2007-10-25 20:32:37 UTC (rev 6691)
+++ 
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/inband_lib/register_io.v 
    2007-10-25 21:04:27 UTC (rev 6692)
@@ -89,17 +89,16 @@
                    dataout <= out[addr-7'd50];
                else
                    dataout <= 32'hFFFFFFFF;    
-              strobe <= 0;
+                  strobe <= 0;
              end
-           else
-             begin
-               //write
-              dataout <= dataout;
-               strobe <= 1;
-               data_wr <= datain;
-               addr_wr <= addr;
-             end
+       else
+         begin
+           //write
+           strobe <= 1;
+           data_wr <= datain;
+           addr_wr <= addr;
          end
+     end
 
     //user defined registers declarations
    setting_reg #(50) setting_reg0(.clock(clk),.reset(reset),

Modified: 
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
===================================================================
--- 
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
   2007-10-25 20:32:37 UTC (rev 6691)
+++ 
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
   2007-10-25 21:04:27 UTC (rev 6692)
@@ -361,12 +361,12 @@
    wire [6:0] addr_db;
    wire [31:0] data_db;
    wire strobe_db;
-   //assign serial_strobe = strobe_db | strobe_wr;
-   //assign serial_addr = (strobe_db)? (addr_db) : (addr_wr);
-   //assign serial_data = (strobe_db)? (data_db) : (data_wr);  
-   assign serial_strobe = strobe_wr;
-   assign serial_data = data_wr;
-   assign serial_addr = addr_wr;
+   assign serial_strobe = strobe_db | strobe_wr;
+   assign serial_addr = (strobe_db)? (addr_db) : (addr_wr);
+   assign serial_data = (strobe_db)? (data_db) : (data_wr);    
+   //assign serial_strobe = strobe_wr;
+   //assign serial_data = data_wr;
+   //assign serial_addr = addr_wr;
 
    //wires for register connection
        wire [11:0] atr_tx_delay;

Modified: 
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/toplevel/usrp_std/usrp_std.qsf
===================================================================
--- 
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/toplevel/usrp_std/usrp_std.qsf
       2007-10-25 20:32:37 UTC (rev 6691)
+++ 
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/toplevel/usrp_std/usrp_std.qsf
       2007-10-25 21:04:27 UTC (rev 6692)
@@ -27,7 +27,7 @@
 # ========================
 set_global_assignment -name ORIGINAL_QUARTUS_VERSION 3.0
 set_global_assignment -name PROJECT_CREATION_TIME_DATE "00:14:04  JULY 13, 
2003"
-set_global_assignment -name LAST_QUARTUS_VERSION 7.0
+set_global_assignment -name LAST_QUARTUS_VERSION "5.1 SP1"
 
 # Pin & Location Assignments
 # ==========================





reply via email to

[Prev in Thread] Current Thread [Next in Thread]