commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6594 - gnuradio/branches/developers/matt/u2f/eth/rtl/


From: matt
Subject: [Commit-gnuradio] r6594 - gnuradio/branches/developers/matt/u2f/eth/rtl/verilog
Date: Fri, 5 Oct 2007 15:13:52 -0600 (MDT)

Author: matt
Date: 2007-10-05 15:13:51 -0600 (Fri, 05 Oct 2007)
New Revision: 6594

Modified:
   gnuradio/branches/developers/matt/u2f/eth/rtl/verilog/elastic_buffer.v
Log:
async reset


Modified: gnuradio/branches/developers/matt/u2f/eth/rtl/verilog/elastic_buffer.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/eth/rtl/verilog/elastic_buffer.v      
2007-10-05 21:10:14 UTC (rev 6593)
+++ gnuradio/branches/developers/matt/u2f/eth/rtl/verilog/elastic_buffer.v      
2007-10-05 21:13:51 UTC (rev 6594)
@@ -20,6 +20,10 @@
    reg [3:0]    
addr_wr,addr_wr_gray,awg_d1,awg_d2,addr_wr_gray_ret,awgr_d1,addr_wr_ungray,addr_rd;
    
    reg [11:0]   buffer [0:15];
+   integer      i;
+   initial
+     for(i=0;i<16;i=i+1)
+       buffer[i] <= 0;
    
    reg [7:0]    rxd_d1, rxd_d2;
    reg                  rx_dv_d1,rx_er_d1,crs_d1,col_d1, 
rx_dv_d2,rx_er_d2,crs_d2,col_d2;               
@@ -68,7 +72,7 @@
        default : direction <= wayoff;
      endcase // case(addr_delta)
        
-   always @(posedge tx_clk)
+   always @(posedge tx_clk or posedge rst)
      if(rst)
        addr_rd <= 0;
      else if(rx_dv_ret_adv | rx_dv_ontime)





reply via email to

[Prev in Thread] Current Thread [Next in Thread]