commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6469 - gnuradio/branches/developers/matt/u2f/top/u2_s


From: matt
Subject: [Commit-gnuradio] r6469 - gnuradio/branches/developers/matt/u2f/top/u2_sim
Date: Tue, 18 Sep 2007 17:23:21 -0600 (MDT)

Author: matt
Date: 2007-09-18 17:23:20 -0600 (Tue, 18 Sep 2007)
New Revision: 6469

Modified:
   gnuradio/branches/developers/matt/u2f/top/u2_sim/u2_sim_top.v
Log:
added error generation to serdes, typo fix, and moved printout away from 
ethernet core


Modified: gnuradio/branches/developers/matt/u2f/top/u2_sim/u2_sim_top.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/top/u2_sim/u2_sim_top.v       
2007-09-18 23:22:38 UTC (rev 6468)
+++ gnuradio/branches/developers/matt/u2f/top/u2_sim/u2_sim_top.v       
2007-09-18 23:23:20 UTC (rev 6469)
@@ -158,7 +158,7 @@
    serdes_model serdes_model
      (.ser_tx_clk(ser_tx_clk), .ser_tkmsb(ser_tkmsb), .ser_tklsb(ser_tklsb), 
.ser_t(ser_t),
       .ser_rx_clk(ser_rx_clk), .ser_rkmsb(ser_rkmsb), .ser_rklsb(ser_rklsb), 
.ser_r(ser_r),
-      .even(0));
+      .even(0),.error(0) );
    
    adc_model adc_model
      (.clk(dsp_clk),
@@ -166,7 +166,7 @@
       
.adc_b(adc_b),.adc_ovf_b(adc_ovf_b),.adc_oen_b(adc_oen_b),.adc_pdn_b(adc_pdn_b));
 
    Phy_sim phy_model
-     (.Gtx_clk(GMII_GTX_CLK), . Rx_clk(CMII_RX_CLK), .Tx_clk(GMII_TX_CLK),
+     (.Gtx_clk(GMII_GTX_CLK), . Rx_clk(GMII_RX_CLK), .Tx_clk(GMII_TX_CLK),
       .Tx_er(GMII_TX_ER), .Tx_en(GMII_TX_EN), .Txd(GMII_TXD),
       .Rx_er(GMII_RX_ER), .Rx_dv(GMII_RX_DV), .Rxd(GMII_RXD),
       .Crs(GMII_CRS), .Col(GMII_COL),
@@ -256,15 +256,15 @@
    // Experimental printf-like function
    always @(posedge wb_clk)
      begin
-       if((u2_basic.m0_we == 1'd1)&&(u2_basic.m0_adr == 16'hC000))
+       if((u2_basic.m0_we == 1'd1)&&(u2_basic.m0_adr == 16'hC0F0))
          $write("%x",u2_basic.m0_dat_i);
-       if((u2_basic.m0_we == 1'd1)&&(u2_basic.m0_adr == 16'hC100))
+       if((u2_basic.m0_we == 1'd1)&&(u2_basic.m0_adr == 16'hC1F0))
          $display("%x",u2_basic.m0_dat_i);
-       if((u2_basic.m0_we == 1'd1)&&(u2_basic.m0_adr == 16'hC004))
+       if((u2_basic.m0_we == 1'd1)&&(u2_basic.m0_adr == 16'hC0F4))
          $write("%c",u2_basic.m0_dat_i);
-       if((u2_basic.m0_we == 1'd1)&&(u2_basic.m0_adr == 16'hC104))
+       if((u2_basic.m0_we == 1'd1)&&(u2_basic.m0_adr == 16'hC1F4))
          $display("%c",u2_basic.m0_dat_i);
-       if((u2_basic.m0_we == 1'd1)&&(u2_basic.m0_adr == 16'hC008))
+       if((u2_basic.m0_we == 1'd1)&&(u2_basic.m0_adr == 16'hC0F8))
          $display("");
      end
 





reply via email to

[Prev in Thread] Current Thread [Next in Thread]