commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6451 - in gnuradio/branches/developers/jcorgan/radar/


From: jcorgan
Subject: [Commit-gnuradio] r6451 - in gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src: fpga/lib fpga/tb fpga/top python
Date: Mon, 17 Sep 2007 15:25:48 -0600 (MDT)

Author: jcorgan
Date: 2007-09-17 15:25:48 -0600 (Mon, 17 Sep 2007)
New Revision: 6451

Modified:
   gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar.v
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/tb/radar_tb.sav
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.rbf
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.v
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/radar_mono.py
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/usrp_radar_mono.py
Log:
Implemented receiver T/R switching.

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar.v   
    2007-09-17 18:49:51 UTC (rev 6450)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar.v   
    2007-09-17 21:25:48 UTC (rev 6451)
@@ -24,7 +24,7 @@
 module radar(clk_i,saddr_i,sdata_i,s_strobe_i,
             tx_side_o,tx_strobe_o,tx_dac_i_o,tx_dac_q_o,
             rx_adc_i_i,rx_adc_q_i,
-            rx_strobe_o,rx_ech_i_o,rx_ech_q_o);
+            rx_strobe_o,rx_ech_i_o,rx_ech_q_o,auto_tr_o);
    
    // System interface
    input         clk_i;                // Master clock @ 64 MHz
@@ -37,7 +37,8 @@
    output        tx_strobe_o;  // Generate an transmitter output sample
    output [13:0] tx_dac_i_o;   // I channel transmitter output to DAC
    output [13:0] tx_dac_q_o;    // Q channel transmitter output to DAC
-   
+   output        auto_tr_o;     // Transmit/Receive switching
+      
    // Receive subsystem
    input  [15:0] rx_adc_i_i;   // I channel input from ADC
    input  [15:0] rx_adc_q_i;   // Q channel input from ADC
@@ -65,6 +66,7 @@
       .reset_o(reset),.tx_side_o(tx_side_o),.dbg_o(debug_enabled),
       .tx_strobe_o(tx_strobe_o),.tx_ctrl_o(tx_ctrl),.rx_ctrl_o(rx_ctrl),
       .ampl_o(ampl),.fstart_o(fstart),.fincr_o(fincr),.pulse_num_o(pulse_num));
+    assign auto_tr_o = tx_ctrl;
 
    radar_tx transmitter
      ( .clk_i(clk_i),.rst_i(reset),.ena_i(tx_ctrl),.strobe_i(tx_strobe_o),

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/tb/radar_tb.sav
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/tb/radar_tb.sav
   2007-09-17 18:49:51 UTC (rev 6450)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/tb/radar_tb.sav
   2007-09-17 21:25:48 UTC (rev 6451)
@@ -24,6 +24,7 @@
 @28
 radar_tb.uut.controller.tx_ctrl_o
 radar_tb.uut.controller.rx_ctrl_o
+radar_tb.uut.auto_tr_o
 @200
 -
 @28
@@ -39,7 +40,6 @@
 radar_tb.uut.receiver.count[15:0]
 @28
 radar_tb.uut.receiver.fifo_empty
-radar_tb.uut.receiver.fifo_rd
 @22
 radar_tb.uut.receiver.fifo_inp[31:0]
 radar_tb.uut.receiver.fifo_out[31:0]

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.rbf
===================================================================
(Binary files differ)

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.v
     2007-09-17 18:49:51 UTC (rev 6450)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.v
     2007-09-17 21:25:48 UTC (rev 6451)
@@ -86,7 +86,7 @@
 
    // TX
    wire        tx_sample_strobe;
-   wire        tx_empty;
+   wire        auto_tr;
    
    wire        serial_strobe;
    wire [6:0]  serial_addr;
@@ -145,7 +145,7 @@
    radar radar_mono ( 
.clk_i(clk64),.saddr_i(serial_addr),.sdata_i(serial_data),.s_strobe_i(serial_strobe),
             
.tx_side_o(tx_side),.tx_strobe_o(tx_sample_strobe),.tx_dac_i_o(tx_i),.tx_dac_q_o(tx_q),
             .rx_adc_i_i(rx_adc0_i),.rx_adc_q_i(rx_adc0_q),
-            .rx_strobe_o(rx_strobe),.rx_ech_i_o(rx_buf_i),.rx_ech_q_o(rx_buf_q)
+            
.rx_strobe_o(rx_strobe),.rx_ech_i_o(rx_buf_i),.rx_ech_q_o(rx_buf_q),.auto_tr_o(auto_tr)
           );
    
    
///////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
@@ -175,7 +175,7 @@
        .interp_rate(),.decim_rate(),
        .tx_sample_strobe(),.strobe_interp(),
        .rx_sample_strobe(),.strobe_decim(),
-       .tx_empty(tx_empty),
+       .tx_empty(auto_tr),
        .debug_0(),.debug_1(),
        .debug_2(),.debug_3(),
        .reg_0(reg_0),.reg_1(reg_1),.reg_2(reg_2),.reg_3(reg_3) );

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/radar_mono.py
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/radar_mono.py
   2007-09-17 18:49:51 UTC (rev 6450)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/radar_mono.py
   2007-09-17 21:25:48 UTC (rev 6451)
@@ -212,7 +212,10 @@
             print "Using", self._subdev.side_and_name(), "for radar receiver."
             print "Setting receiver gain to", self._gain
         self.set_gain(self._gain)
-
+       self._subdev.set_auto_tr(True)
+       self._subdev.set_atr_tx_delay(26) # TX CORDIC pipeline delay
+       self._subdev.set_atr_rx_delay(26)
+               
     def _setup_connections(self):
        if not self._length_set:
            raise RuntimeError("Echo length not set.")

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/usrp_radar_mono.py
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/usrp_radar_mono.py
      2007-09-17 18:49:51 UTC (rev 6450)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/usrp_radar_mono.py
      2007-09-17 21:25:48 UTC (rev 6451)
@@ -32,7 +32,7 @@
 
 def process_echo(echo):
     global logfile
-    print "Received echo of length ", len(echo)
+    #sys.stdout.write('.')
     logfile.write(echo)
         
 def main():





reply via email to

[Prev in Thread] Current Thread [Next in Thread]