[Top][All Lists]
[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]
[Commit-gnuradio] r6419 - in gnuradio/branches/developers/zhuochen/inban
From: |
zhuochen |
Subject: |
[Commit-gnuradio] r6419 - in gnuradio/branches/developers/zhuochen/inband/usrp/fpga: inband_lib megacells toplevel/usrp_inband_usb |
Date: |
Thu, 13 Sep 2007 12:35:51 -0600 (MDT) |
Author: zhuochen
Date: 2007-09-13 12:35:50 -0600 (Thu, 13 Sep 2007)
New Revision: 6419
Removed:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_2k.v
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_2k_1clk.v
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_2k_bb.v
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_2kx16.bsf
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_2kx16.cmp
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_2kx16.inc
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_2kx16.v
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_2kx16_bb.v
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_2kx16_inst.v
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_4k.v
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_4k_bb.v
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_4kx16.bsf
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_4kx16.cmp
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_4kx16.inc
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_4kx16.v
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_4kx16_bb.v
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_4kx16_inst.v
Modified:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/inband_lib/rx_buffer_inband.v
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf
Log:
Removing old non-working megacells
Modified:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/inband_lib/rx_buffer_inband.v
===================================================================
---
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/inband_lib/rx_buffer_inband.v
2007-09-13 16:04:35 UTC (rev 6418)
+++
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/inband_lib/rx_buffer_inband.v
2007-09-13 18:35:50 UTC (rev 6419)
@@ -65,7 +65,7 @@
wire WR;
wire have_space;
- fifo_4k rx_usb_fifo (
+ fifo_4kx16_dc rx_usb_fifo (
.aclr ( reset ),
.data ( fifodata ),
.rdclk ( ~usbclk ),
@@ -145,7 +145,7 @@
assign rdreq = (rd_select == i) & chan_rdreq;
//assign chan_empty[i] = usedw[i] < 10'd126;
- fifo_2k_1clk rx_chan_fifo (
+ fifo_1kx16 rx_chan_fifo (
.aclr ( reset ),
.clock ( rxclk ),
.data ( ch[i] ),
@@ -160,7 +160,7 @@
end
endgenerate
wire [7:0] debug;
- fifo_2k_1clk rx_cmd_fifo (
+ fifo_1kx16 rx_cmd_fifo (
.aclr ( reset ),
.clock ( rxclk ),
.data ( rx_databus ),
Deleted:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_2k.v
Deleted:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_2k_1clk.v
Deleted:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_2k_bb.v
Deleted:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_2kx16.bsf
Deleted:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_2kx16.cmp
Deleted:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_2kx16.inc
Deleted:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_2kx16.v
Deleted:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_2kx16_bb.v
Deleted:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_2kx16_inst.v
Deleted:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_4k.v
Deleted:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_4k_bb.v
Deleted:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_4kx16.bsf
Deleted:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_4kx16.cmp
Deleted:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_4kx16.inc
Deleted:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_4kx16.v
Deleted:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_4kx16_bb.v
Deleted:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/megacells/fifo_4kx16_inst.v
Modified:
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf
===================================================================
---
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf
2007-09-13 16:04:35 UTC (rev 6418)
+++
gnuradio/branches/developers/zhuochen/inband/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf
2007-09-13 18:35:50 UTC (rev 6419)
@@ -372,14 +372,14 @@
set_instance_assignment -name PARTITION_HIERARCHY no_file_for_top_partition
-to | -section_id Top
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN "100 ps"
+set_global_assignment -name VERILOG_FILE ../../megacells/fifo_4kx16_dc.v
+set_global_assignment -name VERILOG_FILE ../../megacells/fifo_1kx16.v
set_global_assignment -name VERILOG_FILE ../../inband_lib/channel_demux.v
set_global_assignment -name VERILOG_FILE ../../inband_lib/tx_packer.v
set_global_assignment -name VERILOG_FILE ../../inband_lib/cmd_reader.v
-set_global_assignment -name VERILOG_FILE ../../megacells/fifo_2k_1clk.v
set_global_assignment -name VERILOG_FILE ../../inband_lib/packet_builder.v
set_global_assignment -name VERILOG_FILE ../../inband_lib/rx_buffer_inband.v
set_global_assignment -name VERILOG_FILE ../../sdr_lib/atr_delay.v
-set_global_assignment -name VERILOG_FILE ../../megacells/fifo_1k.v
set_global_assignment -name VERILOG_FILE ../../inband_lib/tx_buffer_inband.v
set_global_assignment -name VERILOG_FILE ../../inband_lib/chan_fifo_reader.v
set_global_assignment -name VERILOG_FILE ../../sdr_lib/cic_dec_shifter.v
[Prev in Thread] |
Current Thread |
[Next in Thread] |
- [Commit-gnuradio] r6419 - in gnuradio/branches/developers/zhuochen/inband/usrp/fpga: inband_lib megacells toplevel/usrp_inband_usb,
zhuochen <=