commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6306 - gnuradio/branches/features/inband-usb/usrp/fpg


From: eb
Subject: [Commit-gnuradio] r6306 - gnuradio/branches/features/inband-usb/usrp/fpga/megacells
Date: Tue, 4 Sep 2007 17:15:18 -0600 (MDT)

Author: eb
Date: 2007-09-04 17:15:17 -0600 (Tue, 04 Sep 2007)
New Revision: 6306

Removed:
   gnuradio/branches/features/inband-usb/usrp/fpga/megacells/fifo_1k.bsf
   gnuradio/branches/features/inband-usb/usrp/fpga/megacells/fifo_1k.cmp
   gnuradio/branches/features/inband-usb/usrp/fpga/megacells/fifo_1k.inc
   gnuradio/branches/features/inband-usb/usrp/fpga/megacells/fifo_1k.v
   gnuradio/branches/features/inband-usb/usrp/fpga/megacells/fifo_1k_bb.v
   gnuradio/branches/features/inband-usb/usrp/fpga/megacells/fifo_1k_inst.v
Log:
removed never used fifo megacell

Deleted: gnuradio/branches/features/inband-usb/usrp/fpga/megacells/fifo_1k.bsf

Deleted: gnuradio/branches/features/inband-usb/usrp/fpga/megacells/fifo_1k.cmp

Deleted: gnuradio/branches/features/inband-usb/usrp/fpga/megacells/fifo_1k.inc

Deleted: gnuradio/branches/features/inband-usb/usrp/fpga/megacells/fifo_1k.v

Deleted: gnuradio/branches/features/inband-usb/usrp/fpga/megacells/fifo_1k_bb.v

Deleted: 
gnuradio/branches/features/inband-usb/usrp/fpga/megacells/fifo_1k_inst.v





reply via email to

[Prev in Thread] Current Thread [Next in Thread]