commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5782 - gnuradio/branches/developers/matt/u2f/control_


From: matt
Subject: [Commit-gnuradio] r5782 - gnuradio/branches/developers/matt/u2f/control_lib
Date: Sun, 17 Jun 2007 00:54:25 -0600 (MDT)

Author: matt
Date: 2007-06-17 00:54:24 -0600 (Sun, 17 Jun 2007)
New Revision: 5782

Modified:
   gnuradio/branches/developers/matt/u2f/control_lib/buffer_pool.v
   gnuradio/branches/developers/matt/u2f/control_lib/shortfifo.v
Log:
progress


Modified: gnuradio/branches/developers/matt/u2f/control_lib/buffer_pool.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/control_lib/buffer_pool.v     
2007-06-17 06:54:04 UTC (rev 5781)
+++ gnuradio/branches/developers/matt/u2f/control_lib/buffer_pool.v     
2007-06-17 06:54:24 UTC (rev 5782)
@@ -27,19 +27,12 @@
    input stream_rst,
    
    // Write Interfaces
-   input [31:0] wr0_dat_i,
-   input wr0_write_i,
-   input wr0_done_i,
-   output wr0_ready_o,
-   output wr0_full_o,
+   input [31:0] wr0_dat_i, input wr0_write_i, input wr0_done_i, output 
wr0_ready_o, output wr0_full_o,
+   input [31:0] wr1_dat_i, input wr1_write_i, input wr1_done_i, output 
wr1_ready_o, output wr1_full_o,
    
    // Read Interfaces
-   output [31:0] rd0_dat_o,
-   input rd0_read_i,
-   input rd0_done_i,
-   output rd0_ready_o,
-   output rd0_empty_o
-
+   output [31:0] rd0_dat_o, input rd0_read_i, input rd0_done_i, output 
rd0_ready_o, output rd0_empty_o,
+   output [31:0] rd1_dat_o, input rd1_read_i, input rd1_done_i, output 
rd1_ready_o, output rd1_empty_o
    );
 
    assign wb_err_o = 1'b0;  // Unused for now
@@ -85,7 +78,7 @@
    buffer_2k buf_1
      (.clka(wb_clk_i),.ena(wb_stb_i & sel_a[1]),.wea(wb_we_i),
       .addra(buf_addr),.dia(wb_dat_i),.doa(buf1_outa),
-      .clkb(stream_clk),.enb(1),.web(),.addrb(b1a),.dib(b1di),.dob(b1do));
+      
.clkb(stream_clk),.enb(b1enb),.web(b1web),.addrb(b1a),.dib(b1di),.dob(b1do));
    
    fifo_int fifo_int_1
      
(.clk(stream_clk),.rst(stream_rst),.firstline(),.lastline(),.step(),.read_go(),.write_go(),.done(),

Modified: gnuradio/branches/developers/matt/u2f/control_lib/shortfifo.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/control_lib/shortfifo.v       
2007-06-17 06:54:04 UTC (rev 5781)
+++ gnuradio/branches/developers/matt/u2f/control_lib/shortfifo.v       
2007-06-17 06:54:24 UTC (rev 5782)
@@ -14,7 +14,7 @@
    generate
       for (i=0;i<32;i=i+1)
        begin : gen_srl16
-          srl16e 
+          SRL16E
             srl16e(.Q(dataout[i]),
                    .A0(a[0]),.A1(a[1]),.A2(a[2]),.A3(a[3]),
                    .CE(write),.CLK(clk),.D(datain[i]));





reply via email to

[Prev in Thread] Current Thread [Next in Thread]