commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5775 - gnuradio/branches/developers/matt/u2f/sdr_lib


From: matt
Subject: [Commit-gnuradio] r5775 - gnuradio/branches/developers/matt/u2f/sdr_lib
Date: Sat, 16 Jun 2007 18:58:24 -0600 (MDT)

Author: matt
Date: 2007-06-16 18:58:24 -0600 (Sat, 16 Jun 2007)
New Revision: 5775

Removed:
   gnuradio/branches/developers/matt/u2f/sdr_lib/strobe_gen.v
Modified:
   gnuradio/branches/developers/matt/u2f/sdr_lib/dsp_core_rx.v
   gnuradio/branches/developers/matt/u2f/sdr_lib/dsp_core_tx.v
Log:
compilation fixes


Modified: gnuradio/branches/developers/matt/u2f/sdr_lib/dsp_core_rx.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/sdr_lib/dsp_core_rx.v 2007-06-15 
20:41:27 UTC (rev 5774)
+++ gnuradio/branches/developers/matt/u2f/sdr_lib/dsp_core_rx.v 2007-06-17 
00:58:24 UTC (rev 5775)
@@ -55,10 +55,7 @@
              .rate(decim_rate),.strobe_in(1'b1),.strobe_out(stb_decim),
              .signal_in(q_bb),.signal_out(q_decim));
    
-   MULT18X18S mult_i
-     (.P(prod_i),.A({{2{da[15]}},da} ),.B({{2{scale_i[15]}},scale_i}),
-      .C(dsp_clk),.CE(1'b1),.R(dsp_rst) );
-
+   wire        full, empty;
    assign      rx_done_o = 0;
    assign      rx_write_o = rx_ready_i & ~empty;
    assign      overrun = full & stb_decim;

Modified: gnuradio/branches/developers/matt/u2f/sdr_lib/dsp_core_tx.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/sdr_lib/dsp_core_tx.v 2007-06-15 
20:41:27 UTC (rev 5774)
+++ gnuradio/branches/developers/matt/u2f/sdr_lib/dsp_core_tx.v 2007-06-17 
00:58:24 UTC (rev 5775)
@@ -3,8 +3,8 @@
   (input clk, input rst,
    input set_stb, input [7:0] set_addr, input [31:0] set_data,
 
-   output [15:0] dac_a
-   output [15:0] dac_b,
+   output reg [15:0] dac_a,
+   output reg [15:0] dac_b,
    
    input [31:0] tx_dat_i,
    output tx_read_o,
@@ -35,6 +35,7 @@
      (.clk(clk),.rst(rst),.strobe(set_stb),.addr(set_addr),
       .in(set_data),.out(interp_rate),.changed());
 
+   wire        full, empty;
    assign      tx_done_o = 0;
    assign      tx_read_o = tx_ready_i & ~full;
    assign      underrun = empty & stb_interp;
@@ -57,12 +58,12 @@
    
    wire         signed [35:0] prod_i, prod_q;
 
-   cic_interp  #(parameter bw = 16, parameter N = 4, parameter 
log2_of_max_rate = 7)
+   cic_interp  #(.bw(16),.N(4),.log2_of_max_rate(7))
      cic_interp_i(.clock(clk),.reset(rst),.enable(1),.rate(interp_rate),
                  .strobe_in(stb_interp),.strobe_out(1),
                  .signal_in(i),.signal_out(i_interp));
    
-   cic_interp  #(parameter bw = 16, parameter N = 4, parameter 
log2_of_max_rate = 7)
+   cic_interp  #(.bw(16),.N(4),.log2_of_max_rate(7))
      cic_interp_q(.clock(clk),.reset(rst),.enable(1),.rate(interp_rate),
                  .strobe_in(stb_interp),.strobe_out(1),
                  .signal_in(q),.signal_out(q_interp));

Deleted: gnuradio/branches/developers/matt/u2f/sdr_lib/strobe_gen.v





reply via email to

[Prev in Thread] Current Thread [Next in Thread]