commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5771 - in gnuradio/branches/developers/thottelt/simul


From: thottelt
Subject: [Commit-gnuradio] r5771 - in gnuradio/branches/developers/thottelt/simulations: . work
Date: Tue, 12 Jun 2007 13:19:15 -0600 (MDT)

Author: thottelt
Date: 2007-06-12 13:19:15 -0600 (Tue, 12 Jun 2007)
New Revision: 5771

Added:
   gnuradio/branches/developers/thottelt/simulations/work/
   gnuradio/branches/developers/thottelt/simulations/work/_info
Log:
more file

Added: gnuradio/branches/developers/thottelt/simulations/work/_info
===================================================================
--- gnuradio/branches/developers/thottelt/simulations/work/_info                
                (rev 0)
+++ gnuradio/branches/developers/thottelt/simulations/work/_info        
2007-06-12 19:19:15 UTC (rev 5771)
@@ -0,0 +1,1466 @@
+m255
+13
+cModel Technology
+dZ:\wc\tx_data
+va_graycounter
+I76dzC25:0NCY1^kL?<_`_0
+VMk:address@hidden
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 32333
+VMk:address@hidden
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valt3pram
address@hidden
+VUKKgN]:SQmIASC9>5c=DV2
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 27862
+VUKKgN]:SQmIASC9>5c=DV2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valt_exc_dpram
+ICSf?KJ:Az;j[N9XjCJ8d43
+V0`G:Wek04No=4FXzc6iCh1
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 32411
+V0`G:Wek04No=4FXzc6iCh1
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valt_exc_upcore
+Ig0Oaj8OIhh63]E2[9Ic?[2
+VBFAV[S;KSbCPWUM_?Z0KP0
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 32713
+VBFAV[S;KSbCPWUM_?Z0KP0
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valtaccumulate
+IT076a<TgU06<BG=6MXIjX1
+V9Ul5<MUi1M<Q[bF:E9QnI3
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 9689
+V9Ul5<MUi1M<Q[bF:E9QnI3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valtcam
+IIf`;OP;Y1e;QF[YTom:ee1
+VIEb61C9T]FlHZKRaP>7]11
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 23519
+VIEb61C9T]FlHZKRaP>7]11
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valtcdr_rx
address@hidden;C3
+V3NU3ELG6;e__=cF_AKHeX1
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 18500
+V3NU3ELG6;e__=cF_AKHeX1
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valtcdr_tx
+IlAzL<[47jk8[K;CI1gI?30
+VFo`AZ3DTce;A6QJ]`Chzn2
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 19122
+VFo`AZ3DTce;A6QJ]`Chzn2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valtclklock
+IfMVCc5;Si4^Wk^Q17Jj:70
+V;IPe`k:JLNNXn5in4T7Ma2
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 15815
+V;IPe`k:JLNNXn5in4T7Ma2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valtddio_bidir
+I0]k=SMhBg265NOSFn2lX91
+VQ9k0LIQ^=_z5W]oR4;_<@1
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 17162
+VQ9k0LIQ^=_z5W]oR4;_<@1
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valtddio_in
address@hidden>9>9Yio3
+VD?L8OID;fbGoFhBBkZ_0V2
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 16762
+VD?L8OID;fbGoFhBBkZ_0V2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valtddio_out
+IFMFAT7Z8=1R]=^Bnd6]J>2
+VZFMk^kPnD;address@hidden<5JR7W1
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 16945
+VZFMk^kPnD;address@hidden<5JR7W1
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valtdpram
+ISaW7^WJciJ5;M<T8h4XNK2
address@hidden;QG_Y0
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 26109
address@hidden;QG_Y0
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vALTERA_DEVICE_FAMILIES
+I>Ql2e]DVgUBhHmIYPHkYl0
+VQ9;YfM0O8T<kA:7iO^6?T3
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 433
+VQ9;YfM0O8T<kA:7iO^6?T3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
address@hidden@address@hidden@address@hidden@address@hidden@address@hidden@address@hidden@address@hidden@address@hidden@address@hidden@address@hidden@s
+vALTERA_MF_MEMORY_INITIALIZATION
+InTLCK29KiB[40Uea0]bgD1
+VdGgz5CJfH?XAb0VYP<f=T2
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 64
+VdGgz5CJfH?XAb0VYP<f=T2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
address@hidden@address@hidden@address@hidden@address@hidden@address@hidden@address@hidden@address@hidden@address@hidden@address@hidden@address@hidden@address@hidden@address@hidden@address@hidden@address@hidden@n
+valtfp_mult
+Id1lOoMLa;AY9=iAmz0i9]0
+V:jm5Ubj8A3cFgJZb43na>1
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 14952
+V:jm5Ubj8A3cFgJZb43na>1
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valtlvds_rx
+ImFAKglZO>PnWE_<;>8UQF0
+VJ2ee9^gZ2UBQ@@1NDCOj73
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 19670
+VJ2ee9^gZ2UBQ@@1NDCOj73
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valtlvds_tx
+IQ7=5L>z8DM7Xc;`:jTgco2
+VPz`=EV2zP=cVKf`Dd6QFd0
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 21953
+VPz`=EV2zP=cVKf`Dd6QFd0
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valtmult_accum
+Ifj:DWmAb02:]:jN?3[lF02
+VIQoQ=S9Fc0RNO;6hP_DVY3
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 9929
+VIQoQ=S9Fc0RNO;6hP_DVY3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valtmult_add
address@hidden>BSaTRB87<2
+Vl`KkCaFOMXZf<>nnk]1jc3
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 11897
+Vl`KkCaFOMXZf<>nnk]1jc3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valtpll
+IiRlfazU91i7Q_cK_HH5>:2
+V=d0a];[6nglAXVoao6>nL1
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 8623
+V=d0a];[6nglAXVoao6>nL1
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valtqpram
+IJ`<fmdTQSMDC;zP6GYOnB2
+Vn2X^JLc_lzd9<nK?>RSl01
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 28565
+Vn2X^JLc_lzd9<nK?>RSl01
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valtshift_taps
+InUz3YBNIaaH>Dl[V9P89k1
+V5;Gz9?MS>FPGR4`elbXQ52
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 32219
+V5;Gz9?MS>FPGR4`elbXQ52
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valtsqrt
+I^h06lhl1?0el3<address@hidden
+VBV3>bZnYUS9WHCPheVOgW2
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 15614
+VBV3>bZnYUS9WHCPheVOgW2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valtsquare
+IEUYcZYInjfRbzffAbRF`b1
+VK>H>CEM`1m?hIL45_5`I10
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 34342
+VK>H>CEM`1m?hIL45_5`I10
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valtstratixii_oct
+I]KZV<a4m9FbLXh7g10^Yd0
+VWIn93g<RZ0R]?1IVY:2R]0
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 34512
+VWIn93g<RZ0R]?1IVY:2R]0
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+valtsyncram
address@hidden:T_^ZkESeo[D3
+V`Fj?Gm0BM^igM1IbQl6zn3
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 26446
+V`Fj?Gm0BM^igM1IbQl6zn3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+varm_m_cntr
+IEeX;3Wiz;:address@hidden
address@hidden
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 4896
address@hidden
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+varm_n_cntr
+InT>[giV0NHMP=4O4lQNBL1
address@hidden:0
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 4974
address@hidden:0
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+varm_scale_cntr
+IYAX53NdbT2KN;OUzf6jK;3
+VXREJKCNbUEi[38_3KRk5Y1
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 5045
+VXREJKCNbUEi[38_3KRk5Y1
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vchan_fifo_reader
+I8lC7lP92KA9UAz7X?[UMO2
+VZ1@>;address@hidden
+dZ:\wc\simulations
+w1181575461
+F../inband/usrp/fpga/inband_lib/chan_fifo_reader.v
+L0 1
+VZ1@>;address@hidden
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vchan_fifo_readers_test
+IRc<KCn=lJ3laDn>KSUilY3
address@hidden
+dZ:\wc\simulations
+w1181575379
+F./chan_fifo_readers_test.v
+L0 1
address@hidden
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vchannel_ram
+IO?LNZ[?Q6YY1CUD=FP<d<2
+VLS8aDET4Y0zToL`EKbB0Q0
+dZ:\wc\simulations
+w1181575398
+FZ:/wc/inband/usrp/fpga/inband_lib/channel_ram.v
+L0 1
+VLS8aDET4Y0zToL`EKbB0Q0
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vchannel_ram_test
address@hidden
+V1[>MA36WX[iCeOAC89DSo1
+dZ:\wc\simulations
+w1181575397
+FZ:/wc/simulations/channel_ram_test.v
+L0 1
+V1[>MA36WX[iCeOAC89DSo1
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vdata_packet_fifo
+Ib7fioG`S6k>_ha0FLCfhR2
+VzkDXk7:5hJjemHE4Cn5KM3
+dZ:\wc\simulations
+w1181575398
+F../inband/usrp/fpga/inband_lib/data_packet_fifo.v
+L0 1
+VzkDXk7:5hJjemHE4Cn5KM3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vdata_packet_fifo_test
+I7Cd0XQ5>address@hidden
+V[e8PI5InHGoF_AnnXH5WG2
+dZ:\wc\simulations
+w1181575397
+FZ:/wc/simulations/data_packet_fifo_test.v
+L0 1
+V[e8PI5InHGoF_AnnXH5WG2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vdcfifo
address@hidden:H]];NOG2
+V5TH_L?i:address@hidden
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 31998
+V5TH_L?i:address@hidden
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vdcfifo_async
+I9TBjAk:bON3d5aY6:O?<h3
+V^DY3YJTnMU3=^_gac;AI=1
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 30849
+V^DY3YJTnMU3=^_gac;AI=1
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vdcfifo_dffpipe
+I6hG963n:XJRhQXR1_9<O23
+V5oD^gL3I[RhLlE`]D9m4^2
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 30623
+V5oD^gL3I[RhLlE`]D9m4^2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vdcfifo_fefifo
+IeG;6kOnMz]QH7=VQc69VO1
+V`z7m6ThEgzU5BllSDblSG3
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 30706
+V`z7m6ThEgzU5BllSDblSG3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vdcfifo_low_latency
+IQzKR5`9RLn0h]oNF]MZPI0
+V0dB3b6QVjAK`62W71PIRF2
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 31678
+V0dB3b6QVjAK`62W71PIRF2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vdcfifo_sync
+IJLm9f8NnHcPmc0kHB50Rm3
+V[dVnCn7`FK;`DOzPIgIl01
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 31353
+V[dVnCn7`FK;`DOzPIgIl01
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vdffp
address@hidden
address@hidden:5aCEQ3
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 847
address@hidden:5aCEQ3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfake_fx2
+Ig<>=`8[AQmV91R4hF>@PK3
+Vej[:3iRfCMQEMTodZ5LXO2
+dZ:\wc\simulations
+w1181575379
+F./fake_fx2.v
+L0 1
+Vej[:3iRfCMQEMTodZ5LXO2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfake_fx2_test
+ICC[XTF<6n1TEk7N^n0<Nl2
+VB:ZdWPO:<eRQhZN7T>DLS2
+dZ:\wc\simulations
+w1177428969
+F./fake_fx2_test.v
+L0 1
+VB:ZdWPO:<eRQhZN7T>DLS2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfake_tx_chain
+IC2`fC`_6k=NFVG5N=0kk>2
+Vm84_o_QE^jKS:@Rh=bI<;1
+dZ:\wc\simulations
+w1181575397
+FZ:/wc/simulations/fake_tx_chain.v
+L0 1
+Vm84_o_QE^jKS:@Rh=bI<;1
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_1k
+I1=Y_O]g[elLTn;_;IMc<<3
address@hidden@eD71
+dZ:\wc\simulations
+w1181590269
+F../inband/usrp/fpga/megacells/fifo_1k.v
+L0 36
address@hidden@eD71
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_2k
+I9ZfFB0IMEYggkLgYnHj[>2
+VW=]34N:]O`RBWf[a7gQgc3
+dZ:\wc\simulations
+w1178232291
+FZ:/wc/inband/usrp/fpga/megacells/fifo_2k.v
+L0 3219
+VW=]34N:]O`RBWf[a7gQgc3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_2k_a_gray2bin_8m4
+I>@_N>R6:]>bNj=gmP:iSb1
address@hidden;S0
+dZ:\wc\simulations
+w1178232291
+FZ:/wc/inband/usrp/fpga/megacells/fifo_2k.v
+L0 44
address@hidden;S0
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_2k_a_graycounter_2r6
+I`M^o75nOSkNH09=`3d9QU0
+VmB:j;QeSAf7e1Ih`N:_`70
+dZ:\wc\simulations
+w1178232291
+FZ:/wc/inband/usrp/fpga/megacells/fifo_2k.v
+L0 654
+VmB:j;QeSAf7e1Ih`N:_`70
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_2k_a_graycounter_726
+I1llE2[aRJkfO7oOJILmH;2
address@hidden
+dZ:\wc\simulations
+w1178232291
+FZ:/wc/inband/usrp/fpga/megacells/fifo_2k.v
+L0 84
address@hidden
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_2k_add_sub_a18
+I_lWhi6>J33AG[YhmXOhja2
+VME`H>dBR1DQ`[>V8`m64:3
+dZ:\wc\simulations
+w1178232291
+FZ:/wc/inband/usrp/fpga/megacells/fifo_2k.v
+L0 2498
+VME`H>dBR1DQ`[>V8`m64:3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_2k_alt_synch_pipe_dm2
address@hidden;m?z7HTzjn1
+VnW7dhNHjmf1NEASA_;]N10
+dZ:\wc\simulations
+w1178232291
+FZ:/wc/inband/usrp/fpga/megacells/fifo_2k.v
+L0 2466
+VnW7dhNHjmf1NEASA_;]N10
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_2k_altsyncram_6pl
+IPfkhkYz0D=Vk0<ilXReGK3
+VJ2EN?lM;oF<VMOP4XHQgJ3
+dZ:\wc\simulations
+w1178232291
+FZ:/wc/inband/usrp/fpga/megacells/fifo_2k.v
+L0 1224
+VJ2EN?lM;oF<VMOP4XHQgJ3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_2k_dcfifo_0cq
+IThERRLL]dlb4bWUNMLXNk2
+VnFKaoAa3Kml:90K=B480z1
+dZ:\wc\simulations
+w1178232291
+FZ:/wc/inband/usrp/fpga/megacells/fifo_2k.v
+L0 3013
+VnFKaoAa3Kml:90K=B480z1
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_2k_dffpipe_ab3
+Ii6FhSeFP]a3MoaFfBYWa>2
+VHbn_Shm;`?H]8d<_SQ?e`2
+dZ:\wc\simulations
+w1178232291
+FZ:/wc/inband/usrp/fpga/megacells/fifo_2k.v
+L0 2220
+VHbn_Shm;`?H]8d<_SQ?e`2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_2k_dffpipe_dm2
+ITO3T?JnJjMho:Q9ojOzzZ0
+VjLXado_GRQANgO2o`:nG72
+dZ:\wc\simulations
+w1178232291
+FZ:/wc/inband/usrp/fpga/megacells/fifo_2k.v
+L0 2347
+VjLXado_GRQANgO2o`:nG72
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_512
+IWbTQ^e54]KXT0TIIMIGU00
+VodhKU9TZf0?PdYkhkQ_<L2
+dZ:\wc\simulations
+w1178232291
+F../inband/usrp/fpga/megacells/fifo_512.v
+L0 36
+VodhKU9TZf0?PdYkhkQ_<L2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_512_a_fefifo_gtc
address@hidden
+VFgCT`LJDTM]n_i]Ri4WjY0
+dZ:\wc\simulations
+w1177531735
+F../inband/usrp/fpga/megacells/fifo_512.v
+L0 48
+VFgCT`LJDTM]n_i]Ri4WjY0
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_512_a_fefifo_ltc
+IZdLW[?[D95WZ>O<ke0c5P1
+VEHi[jT?n=Y]2_jl;F1G[W3
+dZ:\wc\simulations
+w1177531735
+F../inband/usrp/fpga/megacells/fifo_512.v
+L0 198
+VEHi[jT?n=Y]2_jl;F1G[W3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_512_a_gray2bin_uk4
+Ii03Y=mn<S1?a;?lS<iO6N1
address@hidden>22lQ1PSMJdYcn;3
+dZ:\wc\simulations
+w1177531735
+F../inband/usrp/fpga/megacells/fifo_512.v
+L0 300
address@hidden>22lQ1PSMJdYcn;3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_512_a_graycounter_t06
address@hidden>33GR[Cg;;3F07]2
+V?MCJVi4LLSGT<mgbPG=8N3
+dZ:\wc\simulations
+w1177531735
+F../inband/usrp/fpga/megacells/fifo_512.v
+L0 334
+V?MCJVi4LLSGT<mgbPG=8N3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_512_add_sub_008
+IL5>address@hidden
+VkbVe>KZEZ<Yc7n>Do__5;2
+dZ:\wc\simulations
+w1177531735
+F../inband/usrp/fpga/megacells/fifo_512.v
+L0 1996
+VkbVe>KZEZ<Yc7n>Do__5;2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_512_alt_synch_pipe_nc8
+IC]SYfE09^hZ3em5hJ=k]d0
+VlE^ecV5oM^0XeOdQfHIaG1
+dZ:\wc\simulations
+w1177531735
+F../inband/usrp/fpga/megacells/fifo_512.v
+L0 1874
+VlE^ecV5oM^0XeOdQfHIaG1
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_512_alt_synch_pipe_oc8
+IbfCEmi>address@hidden
+ViMLECC`1Ci`[_XTHhkM[90
+dZ:\wc\simulations
+w1177531735
+F../inband/usrp/fpga/megacells/fifo_512.v
+L0 1964
+ViMLECC`1Ci`[_XTHhkM[90
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_512_altsyncram_2fc1
+I<nTz9n21VZZ?X2Z0[zoW<0
+VLjMK2PZ65]5M:CU;`D_J02
+dZ:\wc\simulations
+w1177531735
+F../inband/usrp/fpga/megacells/fifo_512.v
+L0 692
+VLjMK2PZ65]5M:CU;`D_J02
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_512_cntr_9v7
+IeLc_<48D:Ui87fFI<dO7o1
address@hidden;<<0
+dZ:\wc\simulations
+w1177531735
+F../inband/usrp/fpga/megacells/fifo_512.v
+L0 2303
address@hidden;<<0
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_512_dcfifo_gq41
+I<`z`MS7J^BiRhO_:Ji8^K3
+VWm8Lbki;SF>K]j:3HT;Y91
+dZ:\wc\simulations
+w1177531735
+F../inband/usrp/fpga/megacells/fifo_512.v
+L0 2633
+VWm8Lbki;SF>K]j:3HT;Y91
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_512_dffpipe_fd9
+InVn=RdL:>Kh]?aH8C^e<?1
+Vce[j<@jFL`]`V>emfCdd`1
+dZ:\wc\simulations
+w1177531735
+F../inband/usrp/fpga/megacells/fifo_512.v
+L0 1776
+Vce[j<@jFL`]`V>emfCdd`1
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_512_dffpipe_hd9
address@hidden
+VS_of3nU?fF<Jm5916Ba8Y0
+dZ:\wc\simulations
+w1177531735
+F../inband/usrp/fpga/megacells/fifo_512.v
+L0 1820
+VS_of3nU?fF<Jm5916Ba8Y0
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_512_dffpipe_id9
+IEH<address@hidden
+V5zWVQzYc<2D;<;hPF65W20
+dZ:\wc\simulations
+w1177531735
+F../inband/usrp/fpga/megacells/fifo_512.v
+L0 1910
+V5zWVQzYc<2D;<;hPF65W20
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vfifo_512_dpram_vdr
+ImXXEJ3fZ>`l`^Hi^EH8TQ2
+V]Lfg4FK[XC_z:e^P8>N^62
+dZ:\wc\simulations
+w1177531735
+F../inband/usrp/fpga/megacells/fifo_512.v
+L0 1733
+V]Lfg4FK[XC_z:e^P8>N^62
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vflexible_lvds_rx
address@hidden
+VRnRL7Ac>9Rn_PCh<6n>address@hidden
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 21729
+VRnRL7Ac>9Rn_PCh<6n>address@hidden
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vflexible_lvds_tx
+I9W`E6cnIeo[E<ST[b]1k;2
address@hidden
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 23313
address@hidden
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vhssi_fifo
+IcJP]=aSfol75fh1Ykj>OL2
+VKoi46Beo<iNXni^[a=Nl>1
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 17935
+VKoi46Beo<iNXni^[a=Nl>1
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vhssi_pll
+I[SRGRe9KgnHkWLfkZQkR63
+VHn2idKnMV[WIMbT1SSEn42
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 17294
+VHn2idKnMV[WIMbT1SSEn42
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vhssi_rx
address@hidden
+V<@<bkeHZeT^WBbP9c>;hH2
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 18168
+V<@<bkeHZeT^WBbP9c>;hH2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vhssi_tx
+ILJg89WTihcSGHE20RfSN^3
+V06h>hE6P?n6HMVij3Dec52
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 18372
+V06h>hE6P?n6HMVij3Dec52
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vlcell
+IaUI00EUY^VHDJUEYlneKW1
address@hidden
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 37
address@hidden
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vMF_pll_reg
+IF^Z2F;=c7aS9Wb<CD8cZV2
+VNCKUEDQ^OBUgncngA<V;H2
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 1171
+VNCKUEDQ^OBUgncngA<V;H2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
address@hidden@f_pll_reg
+vMF_ram7x20_syn
+I01g`DLl<3?BbhdVgZ5e6W3
+VZ[HJ1VI9PREnL2NnHz4ST0
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 17790
+VZ[HJ1VI9PREnL2NnHz4ST0
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
address@hidden@f_ram7x20_syn
+vMF_stratix_pll
+In72Vfc6fMTEkZiQ60L^6e2
+V6zznC^K?OeH]HR3G^GK2H3
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 1230
+V6zznC^K?OeH]HR3G^GK2H3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
address@hidden@f_stratix_pll
+vMF_stratixii_pll
+IWQg_CWKS1E:address@hidden
address@hidden@2
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 5168
address@hidden@2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
address@hidden@f_stratixii_pll
+vpacket_builder
+I2253D8l_MP[;>7iknkd`F1
+V2mmbTUOM4W=7m_fz;QX_O1
+dZ:\wc\simulations
+w1181669605
+FZ:/wc/inband/usrp/fpga/inband_lib/packet_builder.v
+L0 1
+V2mmbTUOM4W=7m_fz;QX_O1
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vparallel_add
+I3I?e1CS<Nl=WN^XIo=I8N0
+V6DXd<J7<?`IL4[cfG?e8L3
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 29708
+V6DXd<J7<?`IL4[cfG?e8L3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vrx_buffer
+IFWeJE95?VUV5jUYbfS;hJ1
+VWl?3EIUZhCKiL8^PVXG<_2
+dZ:\wc\simulations
+w1181667372
+FZ:/wc/inband/usrp/fpga/inband_lib/rx_buffer_inband.v
+L0 4
+VWl?3EIUZhCKiL8^PVXG<_2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vrx_fake_fx2
+I4<F12]X1kfILZ3S[aReZH1
+VL2FzV[S<I?j340Y^RP48o2
+dZ:\wc\simulations
+w1181673958
+FZ:/wc/simulations/rx_fake_fx2.v
+L0 1
+VL2FzV[S<I?j340Y^RP48o2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vscfifo
+I:LhhPYaML`SO2N?<8DJ1O2
+VfTPPJP4B>B>SFiWJU6HFB3
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 29875
+VfTPPJP4B>B>SFiWJU6HFB3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vsld_signaltap
+IWd7nE_g;^EchI727nTk0d2
+VkSfhMee[>address@hidden
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 34435
+VkSfhMee[>address@hidden
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vstratix_lvds_rx
+IZ8X46RjcZT9hdYY_7Pz8]3
+VfP2o;eO=6gjB:address@hidden<3
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 20850
+VfP2o;eO=6gjB:address@hidden<3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vstratix_tx_outclk
address@hidden>;9YWR0liUlkn3
+V<N?m7`II2h8OnjVGb^Vk71
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 23107
+V<N?m7`II2h8OnjVGb^Vk71
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vstratixgx_dpa_lvds_rx
+I3PjT?o5FN0oFBmdFW6hd93
+Vk0jgEIPAn?]Ad=47]fjUh2
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 20958
+Vk0jgEIPAn?]Ad=47]fjUh2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vstratixii_lvds_rx
+I<70S_`HQbZjzRoaoDW5J11
+V5mB[;i^B;V:C;nNANAKe_3
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 21355
+V5mB[;i^B;V:C;nNANAKe_3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vstratixii_tx_outclk
+I?PZkPX?]mHljc;I[eMb341
address@hidden>0
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 23213
address@hidden>0
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vstrobe_gen
address@hidden>8dS1
+V3:9Jm^ZOI;bM_bUEH3SnH2
+dZ:\wc\simulations
+w1178232291
+F../inband/usrp/fpga/sdr_lib/strobe_gen.v
+L0 24
+V3:9Jm^ZOI;bM_bUEH3SnH2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vstrobe_gen_test
address@hidden:C6cK`gN9Uj2
+Va6DL65;X>:e[ob`iM=DmB3
+dZ:\wc\simulations
+w1177269906
+F./strobe_gen_test.v
+L0 1
+Va6DL65;X>:e[ob`iM=DmB3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vstx_m_cntr
+IhfC=[;@D`XlgT:address@hidden
+V:[2:D[_10ghn[bgc=CD302
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 876
+V:[2:D[_10ghn[bgc=CD302
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vstx_n_cntr
+Ib<gYjo4JDCLFGRZ>lVWz?1
+V4CiQB5Uz?;=OULO:MD>Eo1
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 951
+V4CiQB5Uz?;=OULO:MD>Eo1
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vstx_scale_cntr
+IO32WD54`L3DRF931cef;43
+VG8KIUm2mDHoX1DjR8TDdJ1
+dZ:\wc\simulations
+w1137746462
+FC:/altera/quartus51sp1/eda/sim_lib/altera_mf.v
+L0 1036
+VG8KIUm2mDHoX1DjR8TDdJ1
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vtx_buffer
+INMR7cLFjL_Q_6zHG9mZ`j0
+V9;09Q[c9zC_7eOW:3L6I;3
+dZ:\wc\simulations
+w1178232291
+FZ:/wc/inband/usrp/fpga/sdr_lib/tx_buffer.v
+L0 26
+V9;09Q[c9zC_7eOW:3L6I;3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vtx_buffer_inband
+IDhmihSzW18GT0S7a>dzWW2
+VHg6Q3TO7C;[aX]AU=aUTV0
+dZ:\wc\simulations
+w1181575398
+F../inband/usrp/fpga/inband_lib/tx_buffer_inband.v
+L0 1
+VHg6Q3TO7C;[aX]AU=aUTV0
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vtx_buffer_inband_test
+I?:TgY75_Em5o2zRK4WBIl3
address@hidden<<7U;g0
+dZ:\wc\simulations
+w1179008242
+F./tx_buffer_test.v
+L0 1
address@hidden<<7U;g0
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vtx_buffer_test
+I8kDmAMHA_<<P9iDQbRbnK1
+Vnj:?OFPVZCF:8lNll8S3Q2
+dZ:\wc\simulations
+w1177194907
+F./tx_buffer_test.v
+L0 1
+Vnj:?OFPVZCF:8lNll8S3Q2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vtx_chain
+I>fP<XSeJEbf5nNiahM4GQ2
+VR`XdVAU40akZDdSjcZU960
+dZ:\wc\simulations
+w1178232291
+FZ:/wc/inband/usrp/fpga/sdr_lib/tx_chain.v
+L0 22
+VR`XdVAU40akZDdSjcZU960
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vusb_fifo_reader
+ImKDMV;WcmQmO[IH_=`2Rz3
address@hidden::i2
+dZ:\wc\simulations
+w1181575398
+F../inband/usrp/fpga/inband_lib/usb_fifo_reader.v
+L0 1
address@hidden::i2
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vusb_fifo_reader_test
+ILOQR[zQVhOndfb2Y_6jX51
+V?K4F]R7_Q>QJ3Z9:MZSVH3
+dZ:\wc\simulations
+w1178397904
+F./usb_fifo_reader_test.v
+L0 1
+V?K4F]R7_Q>QJ3Z9:MZSVH3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vusb_fifo_writer
+IjQGC]AgTnE7ccM6o[j8EV0
+VRaM8V7OA3zW<address@hidden
+dZ:\wc\simulations
+w1181591850
+F../inband/usrp/fpga/inband_lib/usb_fifo_writer.v
+L0 2
+VRaM8V7OA3zW<address@hidden
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vusb_fifo_writer_test
+IEE9G3hfa4nB`C:PE332]02
+Vc0]46gWRej]EBaSm?SDc20
+dZ:\wc\simulations
+w1181575397
+F./usb_fifo_writer_test.v
+L0 1
+Vc0]46gWRej]EBaSm?SDc20
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vusb_packet_fifo
+IjYRGlO_]JOPoW;4ak9Ak`3
+VJXW03gfzH;NWfOoaoMzBT3
+dZ:\wc\simulations
+w1178232288
+F../inband/usrp/fpga/inband_lib/usb_packet_fifo.v
+L0 1
+VJXW03gfzH;NWfOoaoMzBT3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vusb_packet_fifo2
+I48R5b>7i;Y>1LLG9nk:RR1
+V`C;ZXm_YRS;_<I52T>e`:0
+dZ:\wc\simulations
+w1178232288
+F../inband/usrp/fpga/inband_lib/usb_packet_fifo2.v
+L0 3
+V`C;ZXm_YRS;_<I52T>e`:0
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vusb_packet_fifo2_test
+IhKahDQENCYE7>_94a]5jf2
+V[mZRjf>bFMGCeC;zW=Soo3
+dZ:\wc\simulations
+w1178390642
+F./usb_packet_fifo2_test.v
+L0 1
+V[mZRjf>bFMGCeC;zW=Soo3
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000
+vusb_packet_fifo_test
+Ih?kH<SUUgdkQ:7bQ26>fc3
+VZF;eFDClae^W7?`>WFnC_0
+dZ:\wc\simulations
+w1177365360
+F./usb_packet_fifo_test.v
+L0 1
+VZF;eFDClae^W7?`>WFnC_0
+OV;L;6.1g;31
+r1
+31
+o-work work -O0
+tGenerateLoopIterationMax 100000


Property changes on: 
gnuradio/branches/developers/thottelt/simulations/work/_info
___________________________________________________________________
Name: svn:executable
   + *





reply via email to

[Prev in Thread] Current Thread [Next in Thread]