commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5250 - in gnuradio/branches/developers/jcorgan/sar: g


From: jcorgan
Subject: [Commit-gnuradio] r5250 - in gnuradio/branches/developers/jcorgan/sar: gr-sar-fe/src/fpga/lib gr-sar-fe/src/fpga/rbf/rev2 gr-sar-fe/src/fpga/rbf/rev4 gr-sar-fe/src/fpga/toplevel gr-sar-fe/src/python usrp/host/lib/legacy
Date: Sun, 6 May 2007 13:33:57 -0600 (MDT)

Author: jcorgan
Date: 2007-05-06 13:33:56 -0600 (Sun, 06 May 2007)
New Revision: 5250

Modified:
   gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/fpga/lib/sar.v
   gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/fpga/lib/sar_tx.v
   
gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/fpga/rbf/rev2/usrp_sar.rbf
   
gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/fpga/rbf/rev4/usrp_sar.rbf
   
gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/fpga/toplevel/Makefile.am
   
gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/fpga/toplevel/usrp_sar.v
   gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/python/sar_tx.py
   gnuradio/branches/developers/jcorgan/sar/usrp/host/lib/legacy/usrp_basic.cc
   gnuradio/branches/developers/jcorgan/sar/usrp/host/lib/legacy/usrp_prims.cc
Log:
Work in progress.

Modified: gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/fpga/lib/sar.v
===================================================================
--- gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/fpga/lib/sar.v       
2007-05-05 23:45:43 UTC (rev 5249)
+++ gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/fpga/lib/sar.v       
2007-05-06 19:33:56 UTC (rev 5250)
@@ -50,9 +50,15 @@
    output [15:0] rx_ech_i_o;   // I channel processed echos to Rx FIFO
    output [15:0] rx_ech_q_o;   // Q channel processed echos to Rx FIFO
 
+   // Configuration from host
+   wire [31:0]          mag, freq, phase;
+   setting_reg #(`FR_USER_0) 
sr_mag(.clock(clk_i),.reset(rst_i),.strobe(s_strobe_i),.addr(saddr_i),.in(sdata_i),.out(mag));
+   setting_reg #(`FR_USER_1) 
sr_freq(.clock(clk_i),.reset(rst_i),.strobe(s_strobe_i),.addr(saddr_i),.in(sdata_i),.out(freq));
+   setting_reg #(`FR_USER_2) 
sr_phs(.clock(clk_i),.reset(rst_i),.strobe(s_strobe_i),.addr(saddr_i),.in(sdata_i),.out(phase));
+   
    sar_tx transmitter
      ( .clk_i(clk_i),.rst_i(tx_rst_i),.ena_i(tx_enable_i),
-       .saddr_i(saddr_i),.sdata_i(sdata_i),.s_strobe_i(s_strobe_i),       
+       .mag_i(mag),.freq_i(freq),.phs_i(phase),
        .strobe_i(tx_strobe_i),.tx_i_o(tx_dac_i_o),.tx_q_o(tx_dac_q_o) );
    
    sar_rx receiver

Modified: 
gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/fpga/lib/sar_tx.v
===================================================================
--- gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/fpga/lib/sar_tx.v    
2007-05-05 23:45:43 UTC (rev 5249)
+++ gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/fpga/lib/sar_tx.v    
2007-05-06 19:33:56 UTC (rev 5250)
@@ -22,26 +22,24 @@
 `include "../../../../usrp/firmware/include/fpga_regs_common.v"
 `include "../../../../usrp/firmware/include/fpga_regs_standard.v"
 
-module 
sar_tx(clk_i,rst_i,ena_i,strobe_i,saddr_i,sdata_i,s_strobe_i,tx_i_o,tx_q_o);
+module sar_tx(clk_i,rst_i,ena_i,strobe_i,mag_i,freq_i,phs_i,tx_i_o,tx_q_o);
+   // System control
    input clk_i;
    input rst_i;
    input ena_i;
    input strobe_i;
    
-   input [6:0] saddr_i;
-   input [31:0] sdata_i;
-   input s_strobe_i;
-
+   // Configuration
+   input [31:0]  mag_i;
+   input [31:0]  freq_i;
+   input [31:0]  phs_i;
+   
+   // Output
    output [15:0] tx_i_o;
    output [15:0] tx_q_o;
 
-   wire [31:0]          mag, freq, phase;
-   setting_reg #(`FR_USER_0) 
sr_mag(.clock(clk_i),.reset(rst_i),.strobe(s_strobe_i),.addr(saddr_i),.in(sdata_i),.out(mag));
-   setting_reg #(`FR_USER_1) 
sr_freq(.clock(clk_i),.reset(rst_i),.strobe(s_strobe_i),.addr(saddr_i),.in(sdata_i),.out(freq));
-   setting_reg #(`FR_USER_2) 
sr_phs(.clock(clk_i),.reset(rst_i),.strobe(s_strobe_i),.addr(saddr_i),.in(sdata_i),.out(phase));
-   
    cordic_nco 
nco(.clk_i(clk_i),.rst_i(rst_i),.ena_i(ena_i),.strobe_i(strobe_i),
-                 .mag_i(mag[15:0]),.freq_i(freq),.phs_i(phase),
+                 .mag_i(mag_i[15:0]),.freq_i(freq_i),.phs_i(phs_i),
                  .data_i_o(tx_i_o),.data_q_o(tx_q_o));
          
 endmodule // sar_tx

Modified: 
gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/fpga/rbf/rev2/usrp_sar.rbf
===================================================================
(Binary files differ)

Modified: 
gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/fpga/rbf/rev4/usrp_sar.rbf
===================================================================
(Binary files differ)

Modified: 
gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/fpga/toplevel/Makefile.am
===================================================================
--- 
gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/fpga/toplevel/Makefile.am
    2007-05-05 23:45:43 UTC (rev 5249)
+++ 
gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/fpga/toplevel/Makefile.am
    2007-05-06 19:33:56 UTC (rev 5250)
@@ -34,7 +34,6 @@
        db/*            \
        *.rpt           \
        *.summary       \
-       *.rbf           \
        *.qws           \
        *.smsg          \
        *.done          \

Modified: 
gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/fpga/toplevel/usrp_sar.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/fpga/toplevel/usrp_sar.v 
    2007-05-05 23:45:43 UTC (rev 5249)
+++ 
gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/fpga/toplevel/usrp_sar.v 
    2007-05-06 19:33:56 UTC (rev 5250)
@@ -20,14 +20,6 @@
 //  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
 //
 
-// Top level module for a full setup with DUCs and DDCs
-
-// Define DEBUG_OWNS_IO_PINS if we're using the daughterboard i/o pins
-// for debugging info.  NB, This can kill the m'board and/or d'board if you
-// have anything except basic d'boards installed.
-
-// Uncomment the following to include optional circuitry
-
 module usrp_sar
 (output MYSTERY_SIGNAL,
  input master_clk,

Modified: 
gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/python/sar_tx.py
===================================================================
--- gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/python/sar_tx.py     
2007-05-05 23:45:43 UTC (rev 5249)
+++ gnuradio/branches/developers/jcorgan/sar/gr-sar-fe/src/python/sar_tx.py     
2007-05-06 19:33:56 UTC (rev 5250)
@@ -19,10 +19,12 @@
 
     def tune(self, freq):
        ftw = int(freq*(2**32)/_tx_freq_divisor)
+       print "Frequency tuning word to FPGA is", ftw
        self.trans._write_fpga_reg(usrp.FR_USER_1, ftw)
 
     def set_phase(self, phase):
         ptw = int(float(2**32)*phase/(2.0*math.pi))
+       print "Phase tuning word to FPGA is", ptw
        self.trans._write_fpga_reg(usrp.FR_USER_2, ptw)
     
     def start(self):

Modified: 
gnuradio/branches/developers/jcorgan/sar/usrp/host/lib/legacy/usrp_basic.cc
===================================================================
--- gnuradio/branches/developers/jcorgan/sar/usrp/host/lib/legacy/usrp_basic.cc 
2007-05-05 23:45:43 UTC (rev 5249)
+++ gnuradio/branches/developers/jcorgan/sar/usrp/host/lib/legacy/usrp_basic.cc 
2007-05-06 19:33:56 UTC (rev 5250)
@@ -109,7 +109,7 @@
   : d_udh (0),
     d_usb_data_rate (16000000),        // SWAG, see below
     d_bytes_per_poll ((int) (POLLING_INTERVAL * d_usb_data_rate)),
-    d_verbose (false)
+    d_verbose (true)
 {
   /*
    * SWAG: Scientific Wild Ass Guess.
@@ -364,7 +364,7 @@
 bool
 usrp_basic::_write_9862 (int which_codec, int regno, unsigned char value)
 {
-  if (0 && d_verbose){
+  if (0 & d_verbose){
     // FIXME really want to enable logging in usrp_prims:usrp_9862_write
     fprintf(stdout, "_write_9862(codec = %d, regno = %2d, val = 0x%02x)\n", 
which_codec, regno, value);
     fflush(stdout);

Modified: 
gnuradio/branches/developers/jcorgan/sar/usrp/host/lib/legacy/usrp_prims.cc
===================================================================
--- gnuradio/branches/developers/jcorgan/sar/usrp/host/lib/legacy/usrp_prims.cc 
2007-05-05 23:45:43 UTC (rev 5249)
+++ gnuradio/branches/developers/jcorgan/sar/usrp/host/lib/legacy/usrp_prims.cc 
2007-05-06 19:33:56 UTC (rev 5250)
@@ -1000,7 +1000,7 @@
 usrp_9862_write (struct usb_dev_handle *udh, int which_codec,
                 int regno, int value)
 {
-  if (0)
+  if (1)
     fprintf (stderr, "usrp_9862_write which = %d, reg = %2d, val = %3d 
(0x%02x)\n",
             which_codec, regno, value, value);
 





reply via email to

[Prev in Thread] Current Thread [Next in Thread]