bug-gv
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[bug-gv] gv-3.7.4 bug report


From: David Binderman
Subject: [bug-gv] gv-3.7.4 bug report
Date: Thu, 28 Aug 2014 12:59:57 +0000

Hello there,

Vlist.c:288:49: warning: use of unary operator that may be intended as compound 
assignment (-=)

    if (!nvw->vlist.entries) nvw->vlist.entries =- 1;

Maybe

    if (!nvw->vlist.entries) --(nvw->vlist.entries);

was intended.

Regards

David Binderman


                                          


reply via email to

[Prev in Thread] Current Thread [Next in Thread]