bug-gnu-emacs
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

bug#22368: vhdl comment-uncomment problem, apparently (or not) related t


From: Lars Ingebrigtsen
Subject: bug#22368: vhdl comment-uncomment problem, apparently (or not) related to 14335 and 5997
Date: Thu, 02 Dec 2021 09:48:36 +0100
User-agent: Gnus/5.13 (Gnus v5.13) Emacs/29.0.50 (gnu/linux)

Reto Zimmermann <reto@gnu.org> writes:

> Remedies:
>
> 1 Use 1 for 'comment-padding'

If I understand correctly, the default value of `comment-padding' (i.e.,
" ") works fine, so I think 1) sounds like the correct solution, and
there doesn't seem to be anything to do in vhdl-mode here, at least.

So I'm closing this bug report.

-- 
(domestic pets only, the antidote for overdose, milk.)
   bloggy blog: http://lars.ingebrigtsen.no





reply via email to

[Prev in Thread] Current Thread [Next in Thread]