data; param inputbits := 12; param partitionbits := 4; param part1bits := 4; end;